Presentation is loading. Please wait.

Presentation is loading. Please wait.

Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계.

Similar presentations


Presentation on theme: "Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계."— Presentation transcript:

1 Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계

2 1 프로젝트 생성 2 VHDL 컴파일 3 시뮬레이션 4 디바이스와 핀 할당 5 FPGA에 다운로드하기

3 Section 01 프로젝트 생성 Create a New Project Wizard

4 Section 01 프로젝트 생성 File  New Project Wizard

5 Section 01 프로젝트 생성 Project name과 top-level design entry name을 일치

6 Section 01 프로젝트 생성 Project에 포함시킬 design file을 선택 없으면 NEXT를 클릭

7 Section 01 프로젝트 생성 Device 선택 ACEX 1K EP1K100QC208-3을 선택

8 Section 01 프로젝트 생성 함께 사용할 EDA(Electronic Design Automation) tool을 선택
없으면 Next를 클릭

9 Finish 클릭으로 project 생성 종료
Section 01 프로젝트 생성 Finish 클릭으로 project 생성 종료

10 File → New → VHDL file을 선택하여 VHDL 프로그래밍
Section 01 프로젝트 생성 새로운 project가 생성된 것을 확인 File → New → VHDL file을 선택하여 VHDL 프로그래밍

11 Section 01 프로젝트 생성 새 파일 형 선택

12 Section 01 프로젝트 생성 Text Editor 화면

13 File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면
Section 01 프로젝트 생성 File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면 프로그램 : and_2.vhd  소스 프로그램 library ieee; use ieee.std_logic_1164.all;   entity and_2 is    port(A, B : in std_logic;            Z : out std_logic);   end and_2;  architecture sample of and_2 is    begin         Z  <=  a and b;   end sample;

14 File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면
Section 01 프로젝트 생성 File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면

15 File → Save As에서 vhd 확장자로 새로운 파일을 저장
Section 01 프로젝트 생성 File → Save As에서 vhd 확장자로 새로운 파일을 저장

16 Section 01 기존의 프로젝트를 오픈 File → Open Project 선택

17 Section 01 프로젝트 생성 Project가 open된 것을 확인

18 Section 02 VHDL 컴파일 Processing → Start Compilation 또는 Compiler Tool을 클릭 Start Compilation 단축 아이콘(▶)을 직접 클릭

19 새로운 프로젝트의 VHDL 설계 파일의 성공적인 Compilation 화면
Section 02 VHDL 컴파일 새로운 프로젝트의 VHDL 설계 파일의 성공적인 Compilation 화면

20 Section 02 VHDL 컴파일 Compile 시 에러 발생

21 Section 02 VHDL 컴파일 에러 발생 표시 부분 에러 발생부분 에러 발생을 알리는 Message line을 클릭함

22 Section 02 VHDL 컴파일 재 컴파일 성공적인 결과 화면

23 File → New를 클릭한 후 Vector Waveform File을 선택
Section 03 시뮬레이션 File → New를 클릭한 후 Vector Waveform File을 선택

24 File → Save As를 클릭하여 *.vwf 파일로 저장
Section 03 시뮬레이션 File → Save As를 클릭하여 *.vwf 파일로 저장

25 Section 03 시뮬레이션 Name 위 마우스 우측 버튼을 클릭

26 Name 위 마우스 우측 버튼을 클릭한 다음 Insert → Insert Node or Bus 선택
Section 03 시뮬레이션 Name 위 마우스 우측 버튼을 클릭한 다음 Insert → Insert Node or Bus 선택

27 Section 03 시뮬레이션 Node Finder를 클릭한 후 Filter:에서 Pins:all을 선택한 후 List를 클릭하면 입출력 단자의 이름이 나타남

28 Section 03 시뮬레이션 Node Finder를 클릭한 후 Filter:에서 Pins:all을 선택한 후 List를 클릭하면 입출력 단자의 이름이 나타남→OK

29 Insert Node or Bus에서 Radix: binary로 선택하고) →OK
Section 03 시뮬레이션 Insert Node or Bus에서 Radix: binary로 선택하고) →OK

30 Section 03 시뮬레이션 Node Found에서 모든 노드를 선택한 후 Selected Nodes로 모두 이동

31 신호의 범위를 정한 후 좌측 신호 레벨을 클릭하여 입력 신호 값을 결정
Section 03 시뮬레이션 신호의 범위를 정한 후 좌측 신호 레벨을 클릭하여 입력 신호 값을 결정

32 Section 03 시뮬레이션 Waveform 저장

33 Processing → Start Simulation Tool을 클릭 단축 아이콘( )을 직접 클릭
Section 03 시뮬레이션 Processing → Start Simulation Tool을 클릭 단축 아이콘( )을 직접 클릭

34 Section 03 시뮬레이션 Simulation Tool에서 버튼을 클릭
또는 Overwrite simulation input file with simulation result를 체크하고 open을 클릭

35 Section 03 시뮬레이션 시뮬레이션 결과를 확인

36 Section 03 시뮬레이션 Simulation 시간 조정 Edit→ End Time에서 end time을 설정(조건 :

37 Section 03 시뮬레이션 Simulation 시간 조정 Edit→ Grid Size에서 Period을 설정(조건 :

38 Section 04 디바이스와 핀 할당 Assignment → Device 메뉴에서 ACEX 1K → EP1K100QC208-3을 선택

39 Section 04 디바이스와 핀 할당 Device and Pin Options : Unused Pins를 선택

40 Section 04 디바이스와 핀 할당 As Input tri-stated 체크 →확인

41 Section 04 디바이스와 핀 할당 Assignment Editor를 선택

42 Section 04 디바이스와 핀 할당 To 아래의 <New>에 오른쪽 마우스 클릭

43 Section 04 디바이스와 핀 할당 Node Finder를 선택 → 새로운 창→ List를 선택 → Node Found에서 입출력핀 선택 하여 Selected Nodes로 옮김 → OK

44 Section 04 디바이스와 핀 할당 Assignment Name에서 키보드의 영문자 “L”을 선택 → Location(Accepts with cards/groups)을 선택

45 Section 04 디바이스와 핀 할당 Assignment Name

46 Section 04 디바이스와 핀 할당 Assignments →Pins →각 핀의 Location에 놓고 더블 클릭 → 각각 Pin에 번호를 설정

47 Section 04 디바이스와 핀 할당 HBE-Combo의 입출력 장치

48 Section 04 입출력장치 Button SW(SW_1~SW_F) Bus SW LED SW0 SW1 SW2 SW3 SW4
SW_A SW_B SW_C SW_D SW_E SW_F 115 114 113 112 111 104 103 102 101 100 99 97 96 95 94 92 Bus SW SW0 SW1 SW2 SW3 SW4 SW5 SW6 SW7 92 90 89 88 87 86 85 83 LED LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 191 190 189 187 179 177 176 175

49 7-Dot_ment HBE-Combo 설치 ALTERA a b c d e f g DP 174 173 172 170 169
168 167 166 com1 com2 com3 com4 com5 com6 com7 com8 164 163 162 161 160 159 158 157

50 Dot Matrix LED HBE-Combo 설치 ALTERA Dot_D0 Dot_D1 Dot_D2 Dot_D3 Dot_D4
150 149 148 147 144 143 142 Dot_D7 Dot_D8 Dot_D9 Dot_D10 Dot_D11 Dot_D12 Dot_D13 141 140 139 136 135 134 133 Dot_Scan0 Dot_Scan1 Dot_Scan2 Dot_Scan3 Dot_Scan4 Dot_Scan5 Dot_Scan6 132 131 128 127 126 125 122 Dot_Scan7 Dot_Scan8 Dot_Scan9 121 120 119

51 Section 04 디바이스와 핀 할당 핀 할당한 후 → Save → 컴파일 실행 → 성공

52 Section 05 FPGA에 다운로드하기 Tools → Programmer 또는 Programmer 단축아이콘( ) 실행

53 Section 05 FPGA에 다운로드하기 Hardware Setup → Add Hardware 클릭
Hardware Type : ByteBlaster, Port : LPT1

54 Section 05 FPGA에 다운로드하기 다운 로드 방식(Mode) → Passive Serial 선택

55 Section 05 FPGA에 다운로드하기 Add file에서 다운로드할 sof 파일 선택
Program/Configure 체크

56 Section 05 FPGA에 다운로드하기 Start 버튼을 클릭하면 Progress bar에서 다운로드되는 것을 확인


Download ppt "Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계."

Similar presentations


Ads by Google