Presentation is loading. Please wait.

Presentation is loading. Please wait.

VHDL Design : Barrel Shifter

Similar presentations


Presentation on theme: "VHDL Design : Barrel Shifter"— Presentation transcript:

1 VHDL Design : Barrel Shifter
논리회로 설계 프로젝트 part1 VHDL Design : Barrel Shifter

2 Barrel Shifter 한 개의 연산으로 데이터 워드 내의 다수의 bit를 이동하거나 회전시킬 수 있는 하드웨어 장치 Barrel Shifter Select (5bit) Input (24bit) Output 프로젝트 목표 : 5bit select 신호를 이용하여 24bit 배럴 시프터를 설계 하라

3 4bit Barrel Shifter 상태도 예제 = 00 = 11 Select = 01 D(0) D(1) D(2) D(3)

4 제출 형식 : E-mail (zigprid@ajou.ac.kr) 및 문서 (원천관 339-2 앞 리포트 제출함)
주의사항 기한 : 4월 9일 23:55 까지 제출 형식 : 및 문서 (원천관 앞 리포트 제출함) 파일 제출 형식 filename.vhd (설계 파일) tb_filename.vhd (테스트 벤치) filename.jpg (시뮬레이션 결과) 학번.doc(hwp) (설계 리포트) 리포트 제출 형식 설계 리포트를 A4로 출력하여 제출 주의 사항 : 메일 제출시 메일 제목 (논리회로설계과제_이름_학번 )으로 만 적을 것 본인 부주의로 메일 필터링시 책임X


Download ppt "VHDL Design : Barrel Shifter"

Similar presentations


Ads by Google