Presentation is loading. Please wait.

Presentation is loading. Please wait.

Quartus 를 이용한 ROM 설계 ROM table 의 작성

Similar presentations


Presentation on theme: "Quartus 를 이용한 ROM 설계 ROM table 의 작성"— Presentation transcript:

1 Quartus 를 이용한 ROM 설계 ROM table 의 작성
1. File → New → Memory Files → Memory Initialization File을 선택하여 ROM Data 생성 파일을 만든다. 2. 전체 word의 크기(Depth)와 Word size(출력 bit 크기)를 선택한다.

2 Quartus 를 이용한 ROM 설계 3. Memory Data 편집 창에서 ROM Data를 편집한다.
Memory Data 파일을 저장한 후 Text로 불러서 직접 편집할 수도 있다. 필요한 경우 View → Address Radix 또는 Memory Radix를 선택하여 Binary, Hexa 등을 선택하여 편집할 수 있다. (Address Radix 는 Decimal, Memory Radix 는 unsigned decimal로 선택하여 각 셀에 무작위로 값입력) 4. 편집이 완료 되면 ROM Data를 mif 형식으로 저장한다 . 이름은 ROM1 으로, 확장자는 mif로 저장

3 Quartus 를 이용한 ROM 설계 5. Quartus 종료
5. New Project를 생성 project 생성 폴더 명 및 이름은 ROM1으로 만듬. Next

4 Quartus 를 이용한 ROM 설계 5. Add file 화면에서 ROM1.mif 파일을 add하여 등록. Next

5 Quartus 를 이용한 ROM 설계 5. Device family 와 Target Device 를 아래와 같이 설정 후 Next -> Next -> Finish

6 Quartus 를 이용한 ROM 설계 5. Add file 화면에서 ROM1.mif 파일을 add하여 등록. Next

7 Quartus 를 이용한 ROM 설계 File -> new -> Block Diagram/schematic file 선택 팔레트에서 선택후 libraries에서 megafunction->storage->lpm_rom선택 Ok.

8 Quartus 를 이용한 ROM 설계 Mega Wizrd Plug-in Manger 화면이 뜨면 아래 그림과 같이 VHDL 선택하고 Output file name은 ROM1으로 설정후 Next

9 Quartus 를 이용한 ROM 설계 6. ‘q’ output bus의 bit 크기를 지정한다. (8bit)
7. How many 8-bit words of memory 를 결정 (32word)

10 Quartus 를 이용한 ROM 설계 8. Port register 선택창 아래의 빨강 박스내 address input port와 ‘q’ output port 선택 후 Next >

11 Quartus 를 이용한 ROM 설계 9. Browser 단추를 눌러 작성된 ROM 1.mif 을 찾아 로딩 (확장자 주의) Next >

12 Quartus 를 이용한 ROM 설계 10. 화면 아래쪽의 Generate netlist 체크 하고 NEXT

13 Quartus 를 이용한 ROM 설계 11. 생성하고자 하는 파일을 모두 선택하여 체크
12. Finish 버튼을 누르면 최종적으로 선택된 파일들이 생성된다.

14 Quartus 를 이용한 ROM 설계 13. Inputport, outport를 배치하고 ROM1과 아래 그림과 같이 연결후 포트의 이름을 add[4..0], clk, qout[7..0]으로 설정 14. File -> SAVE AS -> ROM2.bdf 로 저장 (주의 ROM1 으로 하면 충돌에러, 꼭 ROM2.bdf 로 저장)

15 Quartus 를 이용한 ROM 설계 15. File -> New -> Vector Waveform 선택
16. Insert -> Nodefinder 화면에서 list 클릭 look in 은 빈칸으로 놔둠. OK. OK. 눌러서 다음 창으로 17. File -> Save As -> ROM1.vwf로 저장

16 Quartus 를 이용한 ROM 설계 18. Vector Waveform 화면에 서 를 이용하여
add[0] 는 주기를 10ns, add[1]은 20 ns, add[2]는 30 ns, add[3]는 40ns, add[4]는 50ns로 설정 19. Clk 는 5 ns로 설정 20. 설정후 ROM1.vwf 파일 재 저장 21. Processing -> Generte functional simulation netlist 클릭 22. Processing -> Simulator tool 클릭 -> simulation mode를 timing 에서 functional 로 교체 (다음 ppt)

17 Quartus 를 이용한 ROM 설계 22. Processing -> Simulator tool 클릭 -> simulation mode를 timing 에서 functional 로 교체 23. Simulation input에서 ROM1.vwf 파일이 있는지 확인 후 start 버튼 클릭 확인 후 리포트 보기


Download ppt "Quartus 를 이용한 ROM 설계 ROM table 의 작성"

Similar presentations


Ads by Google