Multiplexer 설계.

Slides:



Advertisements
Similar presentations
13 강 논리회로 2 과목 전자계산기 구조 강사 이 민 욱. 13 강 논리회로  논리회로 1. 부울 대수 (Boolean Algebra) 에서 사용하는 기본 연산자 ① 논리부정 : NOT ( ` ) 논리부정은 F = NOT A 의 표현을 F =A` 로 표현 ② 논리곱.
Advertisements


1 08 Simple Sequential Logic Design with Finite State Machines & Timing Design.
Introduction 2007년 2학기.
VHDL Package & Subprogram
Copyright SangSangDom, All Rights Reserved.
VHDL 프로그램은 비동기 Reset을 갖는 D 플립플롭을 구현한 것이다
Dept. of Electronics & Info. Eng. Prof. Jongbok Lee
ASIC의 개요 ASIC(Application Specific Integrated Circuit) 특정 용도 주문형 집적회로
디지털 시계 설계.
                                  8장 A/D 변환기 A/D Converter? A/D Converter 원리 Bit 수와 최대범위 및 해상도와의 관계.
                                  7장 D/A 변환기 D/A Converter? D/A Converter 원리 Bit 수와 최대범위 및 해상도와의 관계.
Design of Digital Clock (디지털 시계의 설계)
디지털 시스템 2010년 1학기 교수: 송상훈 연구실: 율곡관 603-B
제5장 조합논리회로설계(MSI/LSI) 내용 5.1 MSI/LSI 조합논리회로 설계 5.2 이진가산기와 이진감산기
4 컴퓨터에서 활용되는 디지털 논리회로 IT CookBook, 컴퓨터 구조와 원리 2.0.
VHDL을 이용한 통신 칩 설계 -DS/SS MODEM 설계를 중심으로-
순차로직 개요.
디지털 산술과 연산회로.
1. VHDL과 합성 설계 1.1 HDL: Hardware Description Language 집적회로의 설계환경의 변화
가산기 설계.
제4장 조합논리회로 내용 4.1 조합논리회로 설계 과정 4.2 산술회로 : 가산기(adder)/ 감산기(subtractor)
디지털논리실습 기본 논리 게이트 부울대수 조합회로.
VHDL의 기본 Lecture #5.
Verilog HDL 이론.
VHDL Description D-latch C=1 일 때 Q 는 D의 입력 값 이 전달된다.
VHDL의 개요 김 인 수.
신호등 제어기 차량의 흐름에 따라 신호등의 신호를 제어하는 장치 신호등 제어기의 입출력 신호
19장. 원격 조정 로봇 설계 김용애 1조 김정은 이동한 이재흔.
공학실험.
디 지 털 공 학 한국폴리텍V대학.
VHDL Package and Sub program
Stop Watch 구현 Lecture #12.
Chap. 18 라인 트레이서의 설계 라인 트레이서 바닥에 그려진 선을 따라가는 로봇을 의미 라인 트레이서 구성도
6. 조합논리의 기능 6-1 기본 가산기 6-2 병렬 2진 가산기 6-3 비교기 6-4 디코더
II. VHDL 설계부 4장. VHDL 개요 5장. VHDL 설계 구성 6장. VHDL 객체 및 타입 7장. VHDL 모델링
Ch2-2. VHDL Basic VHDL lexical element VHDL description
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
조합논리회로 모델링 Sun, Hye-Seung.
VHDL의 기본 Lecture #4.
Data type and Object 자료형 변환 함수들은 std_logic_arith 패키지에 정의되어 있음.
FSM 설계.
DK-128 ADC 실습 아이티즌 기술연구소
Flip-Flop 설계.
오브젝트 하드웨어 기술 언어 IT CookBook, VHDL을 이용한 디지털 회로 입문.
Programmable Logic Device
제4장 제어 시스템의 성능.
VHDL Mealy and Moore model
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
디지털 시스템 2010년 1학기 담당교수: 최선영 연구실: 산학연구관 6층 602 ( )
논리회로 및 실험 조합논리회로 (1) - Adder
VHDL.
연산자 (Operator).
4 장 신호(Signals) 4.1 아날로그와 디지털(Analog and Digital)
VHDL 디지털시계 2.
안산1대학 제 2 장 디지털 논리회로.
가산기 설계.
1. 2진 시스템.
루브르 박물관 작품 Review 웹 기획을 하는 방법 이라기 보단 더 잘하기 위한 노력이 중요하다.
아날로그-디지털 부호화(1/7) 아날로그 정보를 디지털 신호로 변환 아날로그-디지털 부호화 과정.
디지털 시계 설계 장성락 전영진 임종엽 전보현 이형준.
LCD.
제11강 PC정비사 1급(필기) Lee Hoon Copyright(c) 2008 LeeHoon All rights reserved.
논리회로 설계 및 실험 4주차.
AdcRead API 함수 분석 마이크로프로세서.
실습 9 / 부울식 구현(결합 법칙을 이용한 논리회로 구현)
버스와 메모리 전송 버스 시스템 레지스터와 레지스터들 사이의 정보 전송을 위한 경로
생물과 함께하는 오토마타.
VHDL 응용 Lecture #10.
디 코 더 n비트의 2진 코드를 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로
Presentation transcript:

Multiplexer 설계

Contents Library 함수를 이용한 Adder & comparator Decoder : 복호기 Encoder : 부호기 Multiplexer(2x1) : 다중화기 CASE문 사용 If-then-else문 사용 실습내용 8x1 Multiplexer

Library 함수를 이용한 Adder & comparator library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all; entity lib_add_cmp is port( x, y : in std_logic_vector( 3 downto 0 ); sum : out std_logic_vector( 3 downto 0 ); cmp : out std_logic ); end entity lib_add_cmp; architecture Behavioral of lib_add_cmp is signal in_cmp : std_logic; begin sum <= x + y; cmp <= in_cmp; process( x, y ) if( x > y ) then in_cmp <= '1'; else in_cmp <= '0'; end if; end process; end architecture Behavioral;

Library 함수를 이용한 Adder & comparator

Decoder 부호화된 정보를 복호화하는 데 사용 N bit 2진 code => 2n개 서로 다른 정보 입력이 3개인 신호에 따라서 0,1,2,3,4,5,6,7이라는 8개의 출력이 있으며,1개만 활성화가 된다.

Encoder Decoder의 역(inverse) 산술을 수행. 2n개 이하의 입력선과 n개의 출력선. 입력신호를 특정한 코드로 변환하는 회로.

멀티플렉서(Multiplexer) 소수의 채널 또는 선로에 다수의 정보단위를 전송하고자 할 때 이용 멀티플렉서의 크기는 입력선의 수 2n과 단일 출력선에 의해 규정 n개의 선택선을 포함 1 2 3 4 4(22)개의 디지털 입력신호 한 선의 출력 2개의 선택선이 필요

Technology-mapped netlist (0.35um) 멀티플렉서(Multiplexer) Block Diagram과 Truth Table I0 I1 O S 2x1 MUX 입력 출력 2(21)개의 입력이 있으므로 1개의 선택선 필요 선택 출력 S0 O I0 1 I1 Technology-mapped netlist (0.35um)

멀티플렉서(Multiplexer) Module Simulation entity mux2 is port( i : in std_logic_vector( 1 downto 0 ); s : in std_logic; o : out std_logic ); end entity mux2; architecture Behavioral of mux2 is begin o <= i( 0 ) when s = '0' else i( 1 ); end architecture Behavioral;

Case 구문 vs. if-then-else 구분 process( sel, i0, i1, i2, i3 ) begin if( sel = “00” ) then o <= i0; elsif( sel = “01” ) then o <= i1; eslif( sel = “10” ) then o <= i2; else o <= i3; end if; end process; I3 I2 I1 I0 O sel sel=“10” sel=“01” sel=“00”

Case 구문 vs. if-then-else 구분 process( sel, i0, i1, i2, i3 ) begin case sel is when “00” => o <= i0; when “01” => o <= i1; when “10” => o <= i2; when others => o <= i3; end case; end process; I0 sel I1 I2 I3 2 o

멀티플렉서(Multiplexer) 실습내용 2-x1 MUX 7개를 사용하여 8x1 MUX설계 선택 출력 S2S1S0 O 000 001 I1 010 I2 011 I3 100 I4 101 I5 110 I6 111 I7

멀티플렉서(Multiplexer) Entity entity mux8 is 2x1 mux를 사용하여 8x1 mux를 구성할 것 Component/port map 구문 사용 entity mux8 is port( i : in std_logic_vector( 7 downto 0 ); s : in std_logic_vector( 2 downto 0 ); o : out std_logic ); end entity mux8;

멀티플렉서(Multiplexer) Simulation 결과