CodeVision사용 메뉴얼.

Slides:



Advertisements
Similar presentations
ⓒ 2015 NHN Entertainment Corp. Django 로 만드는 초간단 블로그 시스템운영팀 김영태 개발환경 구축.
Advertisements

프로그램이란 프로그램 생성 과정 프로젝트 생성 프로그램 실행 컴퓨터를 사용하는 이유는 무엇인가 ? – 주어진 문제를 쉽고, 빠르게 해결하기 위해서 사용한다. 컴퓨터를 사용한다는 것은 ? – 컴퓨터에 설치 혹은 저장된 프로그램을 사용하는 것이다. 문제를 해결하기 위한.
Windows XP SP2 문제해결 Windows XP SP2를 설치한 회원께서는 Pop-up차단 기능과 ActiveX 설치의 어려움 발생 아래의 예는 안철수 바이러스 설치 시 문제점을 해결 하는 방법의 설명. 1. ActiveX 컨트롤 설치 ① 주소 표시줄 아래의 '이.
목차 Contents 무선인터넷용 비밀번호 설정방법 Windows 7 Windows 8 Windows XP MAC OS.
Cary UV 분석 매뉴얼 1. 바탕화면의 Cary WinUV 클릭 정량분석 다 파장 동시분석 단 파장 분석
Powerbuilder 연동 1 : File-New (Application 선택)
풀 다운 메뉴 File > New “intent” 이름을 넣고 OK 를 클릭한다.
컴퓨터프로그래밍 1주차실습자료 Visual Studio 2005 사용법 익히기.
新 연구관리 프로그램 SAP 설치 안내 1. SAP GUI 7.3 설치 2전자증빙 솔루션 설치 배포 :
MCMS3000 네트워크 설정방법 [반도 HFCNMS 시스템 Set-up] 반도전자통신
1. 신뢰할 수 있는 싸이트 등록 인터넷 익스플로러 실행 후 실행
코크파트너 설치 가이드 Window 7.
㈜디아이씨 SSLVPN 협력사 접속방법 2017년 4월.
ERP 전용 브라우저 설치 매뉴얼 (Windows 7)
윈도우7 체크 설치 매뉴얼
CUDA Setting : Install & Compile
Outlook Express 메일 백업 및 복원가이드
J2ME Install 부산대학교 인공지능 연구실.
업체등록신청절차 목차 메인화면 메세지별 유형 2-1. 이미 가입된 공급업체
네트워크 프로그래밍 및 실습.
Windows 8 Ksystem G&I 설치.
홍익대학교 메일 시스템 구축 Outlook 설정 매뉴얼.
Communication and Information Systems Lab. 황재철
1. C++ 시작하기.
부트로더와 Self Programming
소프트웨어 분석과 설계 Struts2 & JBOSS 설치하기
WinCE Device Driver 실습 #3
WinCE Device Driver 실습 #2
ARM Development Suite v1.2
SSL-VPN 설치 및 접속 설명서.
DK-128 개발환경 설정 아이티즌 기술연구소 김태성 연구원
TSRM 사용시 PC 기본환경설정 1. 로그인이 안되는 문제해결 1) Windows8 이상일때
홀인원2.0 설치 메뉴얼.
IPython Notebook + Spark + TensorFlow on MacOS
영상처리 실습 인공지능연구실.
LIT-GenAppSetup ※ Texting+ 클라이언트 프로그램은 제품 인증을 받은 제품입니다.
ERP 전용 브라우저 설치 매뉴얼 (Windows 7)
1. 설치 2. SPC_Wave 사용설명 SPC_Reflow 사용방법은 Wave와 같습니다.
2 보안 1 도구 윈도우 XP > 온밀크 프로그램 설치 장애 (보안 설정) / 품목추가 깨질 때 장애증상
Adobe 제품 다운로드 및 설치 방법 안내 Adobe Creative Cloud Adobe License 권한을 받으신 분
안드로이드 앱 개발과정 Step1. 기초과정 강사 : 정 훈 희.
Nessus 4 설치 정보보호응용 조용준.
1. 인증서버 :일반유선PC( 접속“CA관리” 클릭
1. 스크립트 작성 마법사 2. NSIS 스크립트 컴파일
7주차 실습 FPGA 보드 사용법.
빌드 성공.
DK-128 직렬통신 기초 아이티즌 기술연구소
Python 및 OpenCV 설치.
Kernel, Ramdisk, JFFS2 Porting
01. 개요 네트워크에 있는 컴퓨터와 그룹에 대한 NetBIOS 이름에 대응되는 IP 주소를 찾아주는 서비스
학습목표 처음 만드는 비주얼 베이직 프로그램 프로그램 실행과 실행 파일 생성. 학습목표 처음 만드는 비주얼 베이직 프로그램 프로그램 실행과 실행 파일 생성.
시보기 / PC 연결설정방법 광주 직영 임성환 사원.
OpenCV 설정 2.21 만든이 딩딩.
DK-128 개발환경 설정 아이티즌 기술연구소 김태성 연구원
DK-128 개발환경 설정 아이티즌 기술연구소
STS 또는 Eclipse 에서 프로젝트를 Export 하고 Import 하는 방법
StyleCop 소개 SGS Framework 개발 팀.
Tensorboard in Windows
01. 분산 파일 시스템의 개요 네트워크에 분산된 파일을 사용자가 쉽게 접근하고 관리할 수 있게 해준다.
업체등록신청절차 목차 메인화면 메세지별 유형 2-1. 이미 가입된 공급업체
농구 로봇 따라해 보기.
무선랜 사용자 설명서 (Windows Vista 사용자).
TrustNet 전자 협조전 사용설명서 목 차 작성,수정,삭제 결재함 처리현황 발송대장,접수대장
MIS 플2 회계- 마감후이월(2007).
과제물 3호 3번 문제 설명자료.
숙제 작성 및 제출 과정 김진하 2008/03/14.
Platform Builder 사용법.
SafeMSR 설정.
BoardGame 보드게임 따라가기.
Presentation transcript:

CodeVision사용 메뉴얼

CodeVision의 실행화면 ※ 여기에서는 CodeVision의 모든 기능을 설명하지 않고 기본적으로 필요한 기능만을 설명한다. ∙ USB ISP와 터미널의 세팅 ∙ 기존에 만들어진 Hex파일의 다운로드(Chip Programmer) ∙ Project 생성과 환경 설정 ∙ 프로그램 Code 작성 과 컴파일 ∙ 만들어진 Hex파일 Atmega128에 다운로드

USB ISP와 터미널의 세팅(1) CodeVision 실행 후 Settings 선택

USB ISP와 터미널의 세팅(2) Settings에서 Programmer를 선택 AVR Chip Programmer Type을 선택하면 다양한 타입이 나오는데 그중 Atmel STK500/AVRISP를 선택

USB ISP와 터미널의 세팅(3) Communication Port 선택 Communication Port를 선택하면 표시되며 그 중 장치관리자에서 변경 또는 확인한 USB ISP포트를 선택

USB ISP와 터미널의 세팅(4) Settings에서 Terminal 선택 Port를 선택하면 활성화 되어 있는 포트가 모두 나온다 그 중 터미널 포트에 연결 되어있는 포트를 선택

USB ISP와 터미널의 세팅(5) Baud rate를 누르면 다양한 속도가 나오는데 그중 초기값 9600으로 설정한다. 이 값은 PC의 장치관리자에서 변경 또는 확인한 터미널 포트와 동일한 값을 선택

CodeVision의 사용법 이 파트에서는 CodeVision을 이용해서 프로젝트를 만들고 소스코드를 작성해서 컴파일을 진행하는 방법을 설명한다.

프로젝트 생성(1) CodeVision실행 – File – New – Project 순으로 선택한다.

프로젝트 생성(2) 프로젝트를 실행하면 다음과 같이 CodeWizardAVR을 사용할지 묻는 팝업창이 뜨는데 No를 선택한다. 를 선택하면 왼쪽과 같은 Create New Project창이 뜨는데 생성되는 프로젝트 파일을 저장할 위치를 지정하고 프로젝트의 이름을 적는다.

프로젝트 생성(3) 프로젝트파일의 저장 위치 생성할 프로젝트 파일의 이름 프로젝트 파일의 저장 위치와 이름을 정한후 저장을 클릭

프로젝트 생성(4) 프로젝트파일 생성 후 저장을 누르면 왼쪽과 같은 창이 나오며 사용하고자 하는 Device를 선택하고 OK를 누르면 된다. 여기서는 Atmega128a을 선택하지만 Atmega128,Atmega128a,Atmega128L 중 하나를 선택해도 큰 문제는 없다.

프로젝트 생성(5) 사용할 Device를 선택하고 저장을 누르면 왼쪽과 같이 Configure Project 창이 나오며 C Compiler탭을 선택한다.

프로젝트 생성(6) C Compiler 탭을 선택하면 아래와 같은 창이 나오며 이때 Chip은 프로젝트 생성에서 지정했으므로 놔두고 Clock만을 변경하는데 기본 단위가 Mhz이므로 8을 16으로 변경해주면 된다. Clock을 변경후 After Build탭을 선택 16Mhz는 실제 Atmega128에 연결한 크리스탈 의 속도를 나타낸다.

프로젝트 생성(7) After Build 탭을 선택하면 Action에 Do Nothing이 기본으로 설정되어 있으며 이를 Program the Chip으로 변경한다.

프로젝트 생성(8) Action을 Program the Chip으로 변경하면 아래 많은 옵션들이 나오는데 별도로 세팅 없이 사용해도 무방하다. 한가지 SCK Freq을 230400hz에서 460800hz로 변경하고 Ok를 클릭한다.

프로젝트 생성(9) Configure Project의 설정이 끝나면 아래와 같이 프로젝트와 기본 C파일이 생성되고 다양한 정보가 표시된다. 프로젝트가 생성되고 C언어로 Firmware를 작성할 때 이미 작성되어 있는 파일 을 사용하려면 Configure Project를 실행 후 다음 절차를 수행해야 한다. Configure Project 아이콘 실행

프로젝트 생성(10) 현재 프로젝트에 등록된 C파일 선택 C 파일 제거 후 ADD 클릭 C 파일 제거 을 선택해서 열기를 누르면 된다.

프로젝트 생성(11) 편집창 프로젝트에 C파일이 등록 된 상태 파일 확인 후 Ok 등록된 파일을 누르면 오른쪽 편집창에 열리게 되며 편집이 가능해진다.

프로젝트 생성(12) 편집이 끝났다면 컴파일을 진행해야 하며 Project – Build All를 누르고 오류가 발생하지 않으면 다양한 오브젝트 파일들과 Hex파일이 생성된다.

프로젝트 생성(13) Build All 아이콘으로 Project – Build All을 누른것과 같은 동작을 한다. 0개의 Error와 2개의 Warning이 발생했다. 컴파일을 진행한 상태를 오른쪽의 Information 창을 통해 유저에게 알려준다. Build All을 진행 시 Error가 발생하면 Program the chip 아이콘이 나오지 않는다. Program the chip을 클릭하면 새로 생성된 Hex파일이 USB ISP를 통해서 연결된 ATmega128에 다운로드된다.

Hex 파일 다운로드(1) CodeVision에서는 이미 생성되어 있는 Hex파일을 간편하게 다운로드 할 수 있게 기능을 지원한다. Run the Chip Programmer 아이콘을 누른다.

Hex 파일 다운로드(2) Chip Programmer 실행화면 Device 선택

Hex 파일 다운로드(3) File – Load FLASH 선택 만들어져 있는 Hex파일을 선택

Hex 파일 다운로드(4) Atmega128의 메모리를 지운다. 만약 Flash메모리에 파일이 저장되어 있으면 다시 써지지 않으므로 Erase Chip을 한 다음 Flash로 파일을 다운로드 해야 한다. File- Load Flash에서 읽어들인 Hex 파일을 Atmega128의 Flash메모리에 써넣는다. 처음 사용하는 Atmega128이라면 Fuse Bit를 써넣어야 한다. Fuse Bit는 다양한 설정이 있지만 CodeVision에서는 기본 설정으로 변경없이 Fuse Bit를 누르면 된다. Fuse Bit의 다양한 기능을 알고 싶다면 Atmega128의 데이터 쉬트를 참고하기 바란다.