Presentation is loading. Please wait.

Presentation is loading. Please wait.

Watch VHDL을 이용한 다용도 시계 다른 사람의 지적 재산권을 침해 하지 않았다..

Similar presentations


Presentation on theme: "Watch VHDL을 이용한 다용도 시계 다른 사람의 지적 재산권을 침해 하지 않았다.."— Presentation transcript:

1 Watch VHDL을 이용한 다용도 시계 다른 사람의 지적 재산권을 침해 하지 않았다.

2 ◦ 목차 1. 진행 및 참여도. 2. 과제 목표. 3. 주요기능 및 특징. 4. 구조. 5. 기본 동작. 6. 최종 결과.

3 ◦ 진행 및 참여도 진 행 내 용 1주 자료 수집 2주 기본 소스의 이해 3주 Kit를 이용한 구현 4주 참여 내용

4 ◦ 과제 목표 VHDL의 이해와 작동원리 습득. 1 VHDL을 이용한 Digital clock 설계 및 구조 작동 원리 이해. 2 Training kit을 이용한 프로그램 구현. 3

5 ◦ 주요기능 및 특징 ★ Stop-watch기능 ★ 시계기능 ◦ Start/Stop/Reset ★ 요일/날짜 표시 기능
◦ 주요기능 및 특징 ★ 시계기능 ◦ 시간 표시 ◦ am, pm 표시 ★ Stop-watch기능 ◦ Start/Stop/Reset ★ 요일/날짜 표시 기능 ◦ YYYY-MM-DD ◦ 윤달 계산 ◦ 요일 표시 ★ Alarm 기능 ◦ on/off ◦ 시/분 단위로 설정 ◦ 알람음 발생

6 ◦ 구조 100MHz 1KHz 10MHz Clock Mode selector Integer Divider 7-Segment
Dot Matrix Text LCD Alarm Stop watch Day Clock Divider Time Button

7 ◦ 기본 동작 (1) Clock Divider 10MHz의 클럭을 받 아 100Hz와 1KHz 로 분주하여 출력한 다.
stop watch Text Lcd Time segment Dot Matrix s t M o H p z 10MHz의 클럭을 받 아 100Hz와 1KHz 로 분주하여 출력한 다. 100Hz – Time, Alarm의 1/100초를 카운트 1KHz – 디스플레이 제어 100 HZ 1KHZ

8 ◦ 기본 동작 (2) Time 일반 모드와 설정모드 를 select 할 수 있다. 설정모드에서 원하는 값을 입력 하면 일반
모드 값이 변경. 출력은 2자리 integer 값 X4 selector 일 반 설 정 Button

9 ◦ 기본 동작 (3) Date 일반 모드와 설정모드 를 select 할 수 있다. 설정모드에서 원하는
값을 입력 하면 일반모 드의 날짜 값이 변경. 2자리 integer 값으로 출력 selector 일 반 설 정 Button

10 ◦ 기본 동작 (4) Day ☞ 설정 방식 - 시계모드와 동일. ☞ 요일 출력. ☞ 2자리 integer 값으로 출력.

11 ◦ 기본 동작 (5) Stop watch ☞ 100Hz의 클럭을 받아 카운트 시작.
☞ 100분 주기 (두 개의 10분주기 사용) +1씩 카운터가 되며 99까지 카운터. ☞ Stop 신호로 Clock 을 차단해 줌으로써 Start/Stop을 구현.

12 ◦ 기본 동작 (6) Alarm ☞ 시(時) 버튼과 분(分) 버튼을 입력 받는다.
☞ Time 에서 출력된 것과 Alarm 에서 입력한 시(時)와 분(分)을 비교 하여 Alarm 신호를 출력 . ☞ Alarm 신호는 Piezo 를 통해 출력

13 ◦ 기본 동작 (7) 7 - Segment ☞ Mod Select 에서 출력된 Dot 표시
(1) Time, Stopwatch, Alarm = (2) Day =

14 7 - Segment ◈ Time,Stopwatch,Alarm ◈ Day 시 분 초 1/ 년 월 일

15 7 – Segment 표시 방법 입 력 Segment decoder 출력 Segment decimal binary 0000
입 력 Segment decoder 출력 Segment decimal binary 0000 1 0001 2 0010 3 0011 4 0100 5 0101 6 0110 7 0111 8 1000 9 1001 a f b g e c d

16 ◦ 기본 동작 (8) Dot Matrix ☞ Day, Date, Mode 등 Mod selector
에서 출력된 Display 정보를 Data로 사용. ☞ 10 * 14 사이즈에 맞게 구현.

17 ◦ 기본 동작 (9) Text - LCD ☞ 현재 Mode 와 Setting 상태를 표시한다.

18 (10) 최 종 결 과 ☞ ‣ 초기 단계에서 계획 했던 부분들이 많이 수정 되었다.
1. 독립적으로는 시계, stop-watch, alram, segment, lcd 모두 실행되었다. 2. 각각을 결합하는 단계에서 lcd 구현이 에러가 발생하여 결합단계는 실패 하였다.

19 HBE - Combo


Download ppt "Watch VHDL을 이용한 다용도 시계 다른 사람의 지적 재산권을 침해 하지 않았다.."

Similar presentations


Ads by Google