Presentation is loading. Please wait.

Presentation is loading. Please wait.

IT CookBook, VHDL을 이용한 FPGA 디지털 설계

Similar presentations


Presentation on theme: "IT CookBook, VHDL을 이용한 FPGA 디지털 설계"— Presentation transcript:

1 IT CookBook, VHDL을 이용한 FPGA 디지털 설계
오브젝트 Quartus II 사용 IT CookBook, VHDL을 이용한 FPGA 디지털 설계

2 1 프로젝트 생성 2 VHDL 컴파일 3 시뮬레이션 4 디바이스와 핀 할당 5 FPGA에 다운로드하기 6 계층적 프로젝트

3 Section 01 프로젝트 생성 File  New Project Wizard
Project name과 top-level design entry name을 일치

4 Section 01 프로젝트 생성 Project에 포함시킬 design file을 선택 없으면 NEXT를 클릭

5 Section 01 프로젝트 생성 Device 선택 Cyclone EP1C4F324C8을 선택

6 Section 01 프로젝트 생성 함께 사용할 EDA tool을 선택 없으면 Next를 클릭

7 Section 01 프로젝트 생성 Finish 클릭으로 project 생성 종료

8 Section 01 프로젝트 생성 새로운 project가 생성된 것을 확인

9 Section 01 프로젝트 생성 File → New → VHDL file을 선택하여 VHDL 프로그래밍

10 Section 01 프로젝트 생성 File → Save As에서 vhd 확장자로 새로운 파일을 저장

11 Section 01 프로젝트 생성 File → Open Project 선택

12 Section 01 프로젝트 생성 Project가 open된 것을 확인

13 Section 02 VHDL 컴파일 Processing → Start Compilation 또는 Compiler Tool을 클릭 Start Compilation 단축 아이콘(▶)을 직접 클릭

14 Section 03 시뮬레이션 File → New를 클릭한 후 Vector Waveform File을 선택

15 Section 03 시뮬레이션 File → Save As를 클릭하여 *.vwf 파일로 저장

16 Section 03 시뮬레이션 Name 위 마우스 우측 버튼을 클릭한 다음 Insert → Insert Node or Bus 선택

17 Section 03 시뮬레이션 Node Finder를 클릭한 후 Filter:에서 Pins:all을 선택한 후 List를 클릭하면 입출력 단자의 이름이 나타남

18 Section 03 시뮬레이션 Node Found에서 모든 노드를 선택한 후 Selected Nodes로 모두 이동

19 Section 03 시뮬레이션 신호의 범위를 정한 후 좌측 신호 레벨을 클릭하여 입력 신호 값을 결정

20 Section 03 시뮬레이션 Processing → Start Simulation Tool을 클릭
단축 아이콘( )을 직접 클릭

21 Section 03 시뮬레이션 Simulation Tool에서 버튼을 클릭
또는 Overwrite simulation input file with simulation result를 체크하고 open을 클릭

22 Section 03 시뮬레이션 시뮬레이션 결과를 확인

23 Section 03 시뮬레이션 Simulation 시간 조정 Edit→ End Time에서 end time을 설정

24 Section 04 디바이스와 핀 할당 Assignment → Device 메뉴에서 Cyclone → EP1C4F324C8을 선택

25 Section 04 디바이스와 핀 할당 Device and Pin Options : Active Serial로 선택
Use Configuration device 체크 → EPCS1 선택

26 Section 04 디바이스와 핀 할당 Assignment → Pin을 클릭하고 핀 번호 할당

27 Section 04 디바이스와 핀 할당 DigComV32의 입출력 장치

28 Section 04 디바이스와 핀 할당 키보드(KEY 0~KEY F) 슬라이드 스위치(SW24~SW31)
KeyA KeyB KeyC KeyD KeyE KeyF F18 F17 F16 E16 D18 D17 D16 C17 H15 H18 H17 H16 G15 G18 G17 G16 슬라이드 스위치(SW24~SW31) Reset, Oscillator) SW24 SW25 SW26 SW27 SW28 SW29 SW30 SW31 T6 T5 T4 R7 R6 P7 P6 N8 Reset Oscillator D15 J3, J4, J15, J16 3단 슬라이드 스위치(SW3) Reset, Oscillator) 1단 2단 3단 L16 L15 L14 D16 D17 D18 U16 T16 T17 LED(D1~D8) LED(D9~D15) D1 D2 D3 D4 D5 D6 D7 D8 R10 U10 V10 R11 V12 T13 U13 V13 D9 D10 D11 D12 D13 D14 D15 U11 V11 T12 U12 T14 T15 U15

29 Section 04 디바이스와 핀 할당 7-Segment SEG1 SEG1-A SEG1-B SEG1-C SEG1-D
SEG1-E SEG1-F SEG1-G SEG1-RDP B6 C6 D6 D5 C5 A4 B4 A7 SEG2 SEG2-A SEG2-B SEG2-C SEG2-D SEG2-E SEG2-F SEG2-G SEG2-RDP A8 B8 C8 E7 D7 B7 C7 G9 SEG3 SEG3-A SEG3-B SEG3-C SEG3-D SEG3-E SEG3-F SEG3-G SEG3-RDP D9 F9 A10 C9 B9 E8 A9 B10 SEG4 SEG4-A SEG4-B SEG4-C SEG4-D SEG4-E SEG4-F SEG4-G SEG4-RDP B11 C11 D11 A11 F10 C10 D10 E11 SEG5 SEG5-A SEG5-B SEG5-C SEG5-D SEG5-E SEG5-F SEG5-G SEG5-RDP F11 A13 B13 D12 C12 A12 B12 C13 SEG6 SEG6-A SEG6-B SEG6-C SEG6-D SEG6-E SEG6-F SEG6-G SEG6-RDP B15 C15 B16 A15 D14 D13 E13 C16

30 Section 05 FPGA에 다운로드하기 Tools → Programmer 또는 Programmer 단축아이콘( ) 실행

31 Section 05 FPGA에 다운로드하기 Hardware Setup → Add Hardware 클릭
Hardware Type : ByteBlasterⅡ, Port : LPT1

32 Section 05 FPGA에 다운로드하기 Available hardware items : ByteblasterⅡ
Currently Selected hardware : ByteblasterⅡ

33 Section 05 FPGA에 다운로드하기 Mode → Active Serial 선택

34 Section 05 FPGA에 다운로드하기 Add file에서 다운로드할 pof 파일 선택
Program/Configure 체크

35 Section 05 FPGA에 다운로드하기 Start 버튼을 클릭하면 Progress bar에서 다운로드되는 것을 확인

36 Section 06 계층적 프로젝트 기능단위 블록으로 설계를 한 후 상위 계층에서 Graphic design
예) 4x1 멀티플렉서와 Altera D Flip-Flop을 이용한 설계 새로운 폴더에 mux41 프로젝트 생성, mux41.vhd 설계

37 Section 06 계층적 프로젝트 File → Create/Update → Create Symbol File for Current File 실행 → BSF 파일

38 Section 06 계층적 프로젝트 Top level project를 같은 디렉토리에 생성

39 Section 06 계층적 프로젝트 File → New → Block Diagram/Schematic File 선택

40 Section 06 계층적 프로젝트 마우스 더블 클릭, Project → mux41 심볼 선택

41 Section 06 계층적 프로젝트 심볼을 화면에 배치

42 Section 06 계층적 프로젝트 마우스 더블 클릭, libraries → primitives → storage → dff 선택

43 Section 06 계층적 프로젝트 Block Design/Schematic 설계 완료 후 *.bdf로 저장

44 Section 06 계층적 프로젝트 컴파일 성공

45 IT CookBook, VHDL을 이용한 FPGA 디지털 설계 2장 끝
Thank You ! IT CookBook, VHDL을 이용한 FPGA 디지털 설계 2장 끝


Download ppt "IT CookBook, VHDL을 이용한 FPGA 디지털 설계"

Similar presentations


Ads by Google