Dept. of Electronics & Info. Eng. Prof. Jongbok Lee

Slides:



Advertisements
Similar presentations
내 마음의 버 스 이천신하교회 청년부. 이름 : 한상훈 나이 : 30 살 종교 : 기독교 ( 모태신앙 ) 생활신조 : 인생은 한방 ! 로또나 사자 이상형 : 청순 가련한 모태미녀 특이사항 : 걸그룹 노래에 환장함 식스팩을 갖기엔 슬픈 몸을 타고 남.
Advertisements

10장. 시기별 학급경영 11조 염지수 이 슬 권용민 신해식.
일본 근세사. (1) 에도막부의 개창 ( ㄱ ) 세키가하라의 전투 (1600) - 히데요시의 사후 다섯 명의 다이로 ( 大老 ) 가운데 최대 영지 (250 만석 ) 를 보유하고 있던 도쿠가와 이에야스가 급부상. 이에 이에야스와 반목해 온 이시다 미쓰나리 ( 石田三成 ),
독서골든벨 2009 학년도 6 학년 1 학기 6-10 반. 1. 이야기 삼국유사 정대한 원효대사는 수행을 위해 떠나던 중 피곤하여 숲 속에서 잠이 들었다. 잠결에 너무 목이 마른 나머지 어디에 담겨있는 물을 맛있게 마셨나요 ?
아니마 / 아니무스 송문주 조아라. 아니마 아니마란 ? 남성의 마음속에 있는 여성적 심리 경향이 인격화 한 것. 막연한 느낌이나 기분, 예견적인 육감, 비합리적인 것에 대 한 감수성, 개인적인 사랑의 능력, 자연에 대한 감정, 그리.
대구가톨릭대학교 체육교육과 06 학번 영안중학교 체육교사 신웅섭 반갑습니다. 반야월초등학교 축구부 대륜중학교 축구부 대륜고등학교 대구가톨릭대학교 차석 입학 대구가톨릭대학교 수석 졸업 2014 년 경북중등임용 체육 차석 합격 영안중학교 체육교사 근무 소개.
두 손 들고 두 손 들고 찬양합니다 두 손 들고 찬양합니다 다시 오실 왕 여호와께 다시 오실 왕 여호와께 두 손 들고 찬양합니다 두 손 들고 찬양합니다 다시 오실 왕 여호와께 다시 오실 왕 여호와께 오직 주만이 나를 다스리네 오직 주만이 나를 다스리네 나 주님만을.
일장 - 1 일 24 시간 중의 명기 ( 낮 ) 의 길이 ( 밤은 암기, 낮은 명기 ) 광주기성 - 하루 중 낮의 길이의 장단에 따라 식물의 꽃눈 형성이 달라지는 현상 일장이 식물의 개화현상을 조절하는 중요한 요인 단일식물 - 단일조건에서 개화가 촉진되는 식물 장일식물.
2 학년 6 반 1 조 고은수 구성현 권오제 김강서.  해당 언어에 본디부터 있던 말이나 그것에 기초하여 새로 만들어진 말  어떤 고장 고유의 독특한 말  Ex) 아버지, 어머니, 하늘, 땅.
지금은 기도 하는 시간입니다 1. 송구영신예배를 위해서 2. ‘크리스마스 이브’ 행사를 준비하는 교육 기관을 위하여

2014년도 교원 및 기간제교사 성과상여금 전달교육 개 회 국기에 대한 경례 - 인사말
선진 고양교육 “유아교육 행정 업무 연수” 유치원 회계실무 및 유아학비 연수 경기도고양교육청.
묵자 겸애, 비명, 비공, 상현, 상동, 천지, 명귀, 삼표 법.
1 08 Simple Sequential Logic Design with Finite State Machines & Timing Design.
Copyright SangSangDom, All Rights Reserved.
VHDL 프로그램은 비동기 Reset을 갖는 D 플립플롭을 구현한 것이다
ASIC의 개요 ASIC(Application Specific Integrated Circuit) 특정 용도 주문형 집적회로
MAX+PLUS II 개요.
디지털 시계 설계.
내 아이를 위한 구강관리.
현대사회의 여성문제와 여성복지 3조 권경욱 강향원 황대인 변갑수 박창욱 김지현.
4장. 조합 논리 회로 Lecture #4.
제16장 원무통계 • 분석 ☞ 통계란 특정의 사실을 일정한 기준에 의하여 숫자로 표시한 것을 말한다.통계로서 활용할 수 있는 조건으로는 ① 동질성을 지녀야 하고 ② 기준이 명확하고 ③ 계속성이 지속되어야 하며 ④ 숫자로 표시하여야 한다 경영실적의.
Design of Digital Clock (디지털 시계의 설계)
고교평준화의 득과 실 김영주 이지영 최윤영.
서울지방세무사회 부가세 교육 사진클릭-자료 다운 세무사 김재우.
4 컴퓨터에서 활용되는 디지털 논리회로 IT CookBook, 컴퓨터 구조와 원리 2.0.
제 7 장 문장 구조화 제어문 지정문 조건문 반복문 GOTO 문 비결정적문.
순차로직 개요.
디지털 산술과 연산회로.
1. VHDL과 합성 설계 1.1 HDL: Hardware Description Language 집적회로의 설계환경의 변화
가산기 설계.
Multiplexer 설계.
VHDL의 기본 Lecture #5.
VHDL Description D-latch C=1 일 때 Q 는 D의 입력 값 이 전달된다.
신호등 제어기 차량의 흐름에 따라 신호등의 신호를 제어하는 장치 신호등 제어기의 입출력 신호
To Hear will be forget To see will get memory again To do will be know.
19장. 원격 조정 로봇 설계 김용애 1조 김정은 이동한 이재흔.
디 지 털 공 학 한국폴리텍V대학.
COMPUTER ARCHITECTIRE
제2절 법인세의 계산구조와 세무조정 1. 각 사업연도소득에 대한 법인세 계산구조 회계와 사회 결산서상 당기순이익
VHDL Package and Sub program
Stop Watch 구현 Lecture #12.
Chap. 18 라인 트레이서의 설계 라인 트레이서 바닥에 그려진 선을 따라가는 로봇을 의미 라인 트레이서 구성도
Ch2-2. VHDL Basic VHDL lexical element VHDL description
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
VHDL의 기본 Lecture #4.
Data type and Object 자료형 변환 함수들은 std_logic_arith 패키지에 정의되어 있음.
FSM 설계.
Flip-Flop 설계.
Unit 1 Number Systems and Conversion (수의 체계와 변환)
2010년 직원연수 자료 제1차 : 4월 16일 ~ 17일 제2차 : 4월 23일 ~ 24일
Microprocessor I/O Port & CLCD Noh Jin-Seok.
오브젝트 하드웨어 기술 언어 IT CookBook, VHDL을 이용한 디지털 회로 입문.
Programmable Logic Device
VHDL Mealy and Moore model
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
VHDL.
VHDL 디지털시계 2.
가산기 설계.
디지털 시계 설계 장성락 전영진 임종엽 전보현 이형준.
LCD.
Prof. Seewhy Lee Presents
컴퓨터 구조 실습 #4 - systemC process
시민이 체감하는 편리한 건축인허가 절차 개선 추진.
8단계 3층을 완성한다 Case 1 Case 2 Case 3 Case 4
나-는 믿음으로 주 얼굴 보리니- 아침에 깰 때에 주형상에 만족하리 나주님 닮기 원하네 믿음으로 주얼굴 보리라 -
VHDL 응용 Lecture #10.
매스펀 문제 2.
Presentation transcript:

Dept. of Electronics & Info. Eng. Prof. Jongbok Lee Ch. 7 Decoders & Encoders Dept. of Electronics & Info. Eng. Prof. Jongbok Lee

1. 3x8 Decoder Decoder : Combinational circuit which changes N-bit input to 2N outputs 2x4 decoder, 3x8 decoder...

entity decoder is port ( a : in std_logic_vector(2 downto 0); e : in std_logic; q : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process(a,e) if (e=‘0’) then case a is when “000” => q <= “11111110”; when “001” => q <= “11111101”; when “010” => q <= “11111011”; when “011” => q <= “11110111”; when “100” => q <= “11101111”; when “101” => q <= “11011111”; when “110” => q <= “10111111”; when “111” => q <= “01111111”; when others => q <= “11111111”; end case; else q <= “11111111”; end if; end process; end behavioral;

G3 G4 H3 H4 %실험 맨왼쪽 푸쉬버튼을 누르면서 세개 버튼을 누르면(000) 맨오른쪽만 꺼짐(11111110) signal pin no. cable connections a(0) H4 JP4.0 CN3.22 a(1) H3 JP4.1 CN3.23 a(2) G4 JP4.2 CN3.24 e G3 JP4.3 CN3.26 q(0) A12 JP6.0 CN1.17 q(1) A14 JP6.1 CN1.18 q(2) B14 JP6.2 CN1.19 q(3) B13 JP6.3 CN1.20 q(4) C12 JP6.4 CN1.21 q(5) C9 JP6.5 CN1.22 q(6) D9 JP6.6 CN1.23 q(7) P16 JP6.7 CN1.24 P16 D9 C9 C12 B13 B14 A14 A12 G3 G4 H3 H4 %실험 맨왼쪽 푸쉬버튼을 누르면서 세개 버튼을 누르면(000) 맨오른쪽만 꺼짐(11111110)

2. BCD to 7 segment decoder function : inputs 4-bit BCD code and express as Arabic numbers by using 7 LEDs. % 순서 h-g-f-e-d-c-b-a 0 : 0 0 1 1 1 1 1 1 1 :0 0 0 0 0 1 1 0 2 :0 1 0 1 1 0 1 1

entity bcd7seg is port (bcd : in std_logic_vector(3 downto 0); digit : out std_logic_vector(6 downto 1); segment : out std_logic_vector(7 downto 0)); end bcd7seg; architecture behavioral of bcd7seg is begin digit <= “100000”; process(bcd) if (bcd=x”0”) then segment<=x”3F”; elsif (bcd=x”1’) then segment<=x”06”; elsif (bcd=x”2”) then segment<=x”5B”; elsif (bcd=x”3”) then segment<=x”4F”; elsif (bcd=x”4”) then segment<=x”66”; elsif (bcd=x”5”) then segment<=x”6D”; elsif (bcd=x”6”) then segment<=x”7D”; elsif (bcd=x”7”) then segment<=x”07”; elsif (bcd=x”8”) then segment<=x”7F”; elsif (bcd=x”9”) then segment<=x”67”; else segment <=x“00”; end if; end process; end behavioral;

signal pin no. cable connections bcd(0) H4 JP4.0 CN3.22 bcd(1) H3 JP4.1 CN3.23 bcd(2) G4 JP4.2 CN3.24 bcd(3) G3 JP4.3 CN3.26 digit(1) F4 digit(2) E4 digit(3) F2 digit(4) F3 digit(5) G5 digit(6) F5 segment(0) T12 JP6.0 CN1.2 segment(1) T14 JP6.1 CN1.3 segment(2) N12 JP6.2 CN1.4 segment(3) P13 JP6.3 CN1.5 segment(4) T10 JP6.4 CN1.6 segment(5) R13 JP6.5 CN1.7 segment(6) T13 JP6.6 CN1.8 segment(7) P12 JP6.7 CN1.9 %실험 모두 누르면 입력 0000 출력 0 누르고 떼고 누르고 떼면 입력 0101 출력 5 가운데 두개 누르면 입력 1001 출력 9 G3 G4 H3 H4

3. 8x3 Encoder function : ex : receives 2N inputs and produces N outputs the opposite of decoder ex : 4x2 encoder 8x3 encoder

entity encoder is port (d : in std_logic-vector(7 downto 0); q : out std_logic-vector(2 downto 0); valid : out std_logic); end encoder; architecture behavioral of encoder is begin process(d) if (d=“11111110”) then q<=“000”; valid <= ‘1’; elsif (d=“11111101”) then q<=“001”; valid <=‘1’; elsif (d=“11111011”) then q<=“010”; valid <=‘1’; elsif (d=“11110111”) then q<=“011”; valid <=‘1’; elsif (d=“11101111”) then q<=“100”; valid <=‘1’; elsif (d=“11011111”) then q<=“101”; valid <=‘1’; elsif (d=“10111111”) then q<=“110”; valid <=‘1’; elsif (d=“01111111”) then q<=“111”; valid <=‘1’; else valid <=‘0’; end if; end process; end behavioral;

(1) DIP스위치를 전부 내리고 오른쪽 끝만 올리면 입력 11111110 출력은 000 왼쪽끝 valid bit만 켜지고 0 signal pin no. cable connections d(0) G1 JP4.0 CN3.22 d(1) M14 JP4.1 CN3.23 d(2) L13 JP4.2 CN3.24 d(3) G15 JP4.3 CN3.26 d(4) G14 JP4.4 CN3.27 d(5) B16 JP4.5 CN3.28 d(6) C16 JP4.6 CN3.29 d(7) R16 JP4.7 CN3.30 q(0) A12 JP6.0 CN1.17 q(1) A14 JP6.1 CN1.18 q(2) B14 JP6.2 CN1.19 valid P16 JP6.3 CN1.20 %실험 (1) DIP스위치를 전부 내리고 오른쪽 끝만 올리면 입력 11111110 출력은 000 왼쪽끝 valid bit만 켜지고 0 (2) DIP스위치를 오른쪽에서 두번째만 올리면 입력 11111101 출력은 001 왼쪽 끝 valid bit과 오른쪽 끝만 켜짐