Watch VHDL을 이용한 다용도 시계 다른 사람의 지적 재산권을 침해 하지 않았다..

Slides:



Advertisements
Similar presentations
Hankuk University of Foreign Studies Design and Verification Using FPGA Board Part I.
Advertisements

나만의 스피커 만들기 귀인초부설영재학급 6 학년 박 원근 6 학년 윤 성원. 목차 연구 동기 및 목적 이론적 배경 연구 방법 및 과정 결론 및 고찰 연구 결과.
오케이굿맨 비뇨기과 개원 사업계획서 오케이굿맨 비뇨기과 개원 사업계획서. 제 1 장 : 사업 개요제 2 장 : 병원 선정제 3 장 : 인력 계획제 4 장 : 진료 계획 제 5 장 : 마케팅 계획제 6 장 : 수익성 분석제 7 장 : 투자계획 및 자금계획.
다 기 능 알 람 시 계 기초공학설계 이주화 김한준 이상철 이주화 김한준 이상철.
제 1 회 도전 ! 한글 골든벨 2014 년 7 월 12 일 ( 토 ) 주최 : 센다이 한국교육원 후원 : 駐仙台大韓民国総領事館 在日韓国民団宮城県地方本部 韓日觀光交流センター.
1 Display Marketing BU 소개자료. 2 Display Marketing BU 소개
전자공학과 , 임대수, 임현우. 전자 오르간 제작 일정 1. 소리의 원리와 음계의 주파수 조사 2. 부품 구매 완료 및 제작 시작 3. 전자 오르간의 기본형태 구상 및 VHDL 언어 구성 및 오류 수정 - 음계 구현 및 스피커 출력 4.
Digital Storage Oscilloscopes 란 ? DSO (Digital Storage Oscilloscope) - 전기 신호를 디지털화하고 해당 데이터를 처리 하여 파형 디스플레이를 구성하는 장치입니다 * 크기에 상관없이 모든 오실로 스코프 에는 그래프가 표시됩니다.
- 비스트 김무환 -. - 주요경력 - 대한 경제 교육 개발원 주식아카데미 전임강사 주식 경력 10 년 / 전업투자 7 년 “Sky” Investment 수석연구원 3 년 다음 카페 부자만들기 운영진 ( 회원수 60 만명 ) 前 )
고출력 LED를 이용한 UV GUN 팀장:김동근 팀원:김중호 노현우 손주암.
관세평가 (심화) 예외적인 과세가격 결정 방법 원칙적인 과세가격 결정 방법 Time - PM 7:30 – 10:30 (금)
D I D (Digital Information Display)
Meniett User Guide 작동 방법 버튼의 표시 의미 및 점검 사항 숙지 사항 충전 방법 a
4월 뇌교육 행사 안내문 사랑합니다. 일정안내 요일 프로그램 시간 / 장소 비 고
최종 프로젝트 Final Experiments in Electrical & Computer Engineering 1
PC조립 하드웨어 무작정 따라하기 part1. 용도에 따라 부품 고르기.
임베디드 시스템 개론 8. 임베디드 시스템 개발 환경 8주차 강의 자료 Embedded System Lab.
㈜ 디지탈프로젝트 목 차 회사소개 및 개요 사업 소개 경쟁 우위 요소 비젼 및 추진 전략 재무계획.
학습목표   첨단 영상 진단 장치의 종류 및 기능과 작동 원리를 설명할 수 있다..
아하! 청소년의 성교육은 이렇게!.
차 례 품질보증 및 A/S안내 1. 제품의 제원 2. 제품의 특징 3. 제품의 구성품목 4. 제품의 부분별 명칭 및 기능
  사 용  설 명 서    (항온항습기) Ver. 09
엑셀 문서 꾸미기 01 쉽고 빠른 표 서식과 셀 스타일 02 기본 서식 지정하기 03 조건부 서식 지정하기 Chapter 4
컴퓨터 응용과 3학년 1반 조장 : 최형근 조원 : 조민희
7 조합논리회로 IT CookBook, 디지털 논리회로.
10장 주변장치 (PIO) Slide 1 (of 28).
Time Zone 적용 절차 [MM모듈] ’ 經營支援總括 Global ERP T/F.
Power Quality Analyzer
순환&면역 6조 박아름 이명동 최제춘.
공업통계학 (과제) 과제 1-9 : 목요일 분반 6월 01일 실습 시간 전까지
11. 전자기파 변하는 전기장은 자기장을, 그리고 변하는 자기장은 전기장을 유도한다. 이 관계는 진공상태에서도 성립한다. 또한 이들은 전자기파의 형태로서 극한의 속도로 공간을 가로지를 수 있다. 이들 전자기파는 우리 주위에 존재하면서 통신기술과 열복사 등의 기초가 된다.
SQL 함수 SQL 함수.
Ch. 5 : Analog Transmission
순차 회로 설계 및 검증 Sun, Hye-Seung.
Ticket Dispenser Technical Manual
AVR - Chapter 2 황 지 연.
Stop Watch 예비제안서 10조 이인희 김민석 박재원
자몽자몽 PPT템플릿 Made by 홍양홍삼.
친구와 함께 멋진 과학 탐구를 - 심화 수업 준비를 위한 놀이와 대화 -
*현재 항공미정으로 하기 항공일정은 예상 일정입니다.
임베디드 하드웨어 Report.
1. 실험 목적 회전체를 일정한 힘으로 회전시켜 에너지 보존 법칙을 이용하여 관성 모멘트를 구한다.
2010년 제12회 가을같이 전시회 O OO 네 가족 “우리집”(전) LOVE HOUSE 구립 수유1동어린이집.
인천 장로성가단 New York 카네기홀 연주 9박 11일
VHDL 디지털시계 2.
자동적정기(autotitrator)를 사용한 전위차법 적정
신 호(Signal).
편광마술상자.
서울특별시 영등포구 여의대로 128 LG트윈타워 동관 14층 LG디스플레이 인재확보팀 TEL 02) FAX 02)
SYSCALL 페이저 간편설명서 1. 전원 ON/OFF 설정 2. 대기화면 시계사용 설정 2. 소리/진동 설정
우리나라의 수자원 물 보기를 금같이 우리나라의 수자원 현황 우리나라의 수자원 이용 현황.
설비 접점/PLC 연결방안 및 수집대상 자료 TV현황판 POP SERVER Ethernet 작업기계 PLC와의 연결방법
샤를의 법칙 과학 1 학년 1 학기 5.분자의 운동 >풍선도 추우면 움추러드나(4/4) ) 단원명
사회복지사무소 시범사업 안내 보 건 복 지 부
24시간후 사이다속 닭뼈 & 돼지뼈 하루 지난 사이다속 돼지뼈
잔류전류감지기 광명소방서 광명119안전센터 정대성.
지역의 자연 환경과 인문환경 조사 사회 1학년 1학기 Ⅰ.지역과 사회 탐구>1.지역사회의 지리적 환경(3/6
4. 초전도체와 액정 초전도체 액정.
파동의 여러 가지 모습 과 학 1 학년 ⅩⅡ. 파동 > 1. 파동의 발생 ( 3/8 ) [초기 화면]
4. DIGITAL AV POWERED MIXER
(제작자: 임현수)모둠:임현수,유시연,유한민
홍미영 부평구 재정 및 운영방향 인천광역시 부평구.
온라인 플랫폼 의료관광상품 기획안 기획안과 별도로, 제출되는 상품 등록 정보는 이미지 형태로 제출바랍니다.
논리회로 설계실험 ICE ICE 담당교수 : 김 인 수.
▶서류관리 프로그램 1. 로그인….2 2. 서류등록 … 서류도착 서류스티커발행
중학교 2학년 과학 1. 여러 가지 운동 > 1) 물체의 운동 방향이 변하는 운동에는 어떤 것이 있을까?
유체역학 마이크로마노미터의 이론과 공식을 설명하라. 환경공학과 김기복.
논리회로 설계 및 실험 9주차.
신 발.
ADLAD System MANUAL [ ] SEM. Digital Appliance ADLAD System ?
Presentation transcript:

Watch VHDL을 이용한 다용도 시계 다른 사람의 지적 재산권을 침해 하지 않았다.

◦ 목차 1. 진행 및 참여도. 2. 과제 목표. 3. 주요기능 및 특징. 4. 구조. 5. 기본 동작. 6. 최종 결과.

◦ 진행 및 참여도 진 행 내 용 1주 자료 수집 2주 기본 소스의 이해 3주 Kit를 이용한 구현 4주 참여 내용

◦ 과제 목표 VHDL의 이해와 작동원리 습득. 1 VHDL을 이용한 Digital clock 설계 및 구조 작동 원리 이해. 2 Training kit을 이용한 프로그램 구현. 3

◦ 주요기능 및 특징 ★ Stop-watch기능 ★ 시계기능 ◦ Start/Stop/Reset ★ 요일/날짜 표시 기능 ◦ 주요기능 및 특징 ★ 시계기능 ◦ 시간 표시 ◦ am, pm 표시 ★ Stop-watch기능 ◦ Start/Stop/Reset ★ 요일/날짜 표시 기능 ◦ YYYY-MM-DD ◦ 윤달 계산 ◦ 요일 표시 ★ Alarm 기능 ◦ on/off ◦ 시/분 단위로 설정 ◦ 알람음 발생

◦ 구조 100MHz 1KHz 10MHz Clock Mode selector Integer Divider 7-Segment Dot Matrix Text LCD Alarm Stop watch Day Clock Divider Time Button

◦ 기본 동작 (1) Clock Divider 10MHz의 클럭을 받 아 100Hz와 1KHz 로 분주하여 출력한 다. stop watch Text Lcd Time 7-segment Dot Matrix s 10 t M o H p z 10MHz의 클럭을 받 아 100Hz와 1KHz 로 분주하여 출력한 다. 100Hz – Time, Alarm의 1/100초를 카운트 1KHz – 디스플레이 제어 100 HZ 1KHZ

◦ 기본 동작 (2) Time 일반 모드와 설정모드 를 select 할 수 있다. 설정모드에서 원하는 값을 입력 하면 일반 모드 값이 변경. 출력은 2자리 integer 값 X4 selector 일 반 설 정 Button

◦ 기본 동작 (3) Date 일반 모드와 설정모드 를 select 할 수 있다. 설정모드에서 원하는 값을 입력 하면 일반모 드의 날짜 값이 변경. 2자리 integer 값으로 출력 selector 일 반 설 정 Button

◦ 기본 동작 (4) Day ☞ 설정 방식 - 시계모드와 동일. ☞ 요일 출력. ☞ 2자리 integer 값으로 출력.

◦ 기본 동작 (5) Stop watch ☞ 100Hz의 클럭을 받아 카운트 시작. ☞ 100분 주기 (두 개의 10분주기 사용) +1씩 카운터가 되며 99까지 카운터. ☞ Stop 신호로 Clock 을 차단해 줌으로써 Start/Stop을 구현.

◦ 기본 동작 (6) Alarm ☞ 시(時) 버튼과 분(分) 버튼을 입력 받는다. ☞ Time 에서 출력된 것과 Alarm 에서 입력한 시(時)와 분(分)을 비교 하여 Alarm 신호를 출력 . ☞ Alarm 신호는 Piezo 를 통해 출력

◦ 기본 동작 (7) 7 - Segment ☞ Mod Select 에서 출력된 Dot 표시 (1) Time, Stopwatch, Alarm = 01010100 (2) Day = 00010100

7 - Segment ◈ Time,Stopwatch,Alarm ◈ Day 시 분 초 1/100 년 월 일

7 – Segment 표시 방법 입 력 Segment decoder 출력 Segment decimal binary 0000 입 력 Segment decoder 출력 Segment decimal binary 0000 0111111 1 0001 0000110 2 0010 1011011 3 0011 1001111 4 0100 1100110 5 0101 1101101 6 0110 1111101 7 0111 0100111 8 1000 1111111 9 1001 1100111 a f b g e c d

◦ 기본 동작 (8) Dot Matrix ☞ Day, Date, Mode 등 Mod selector 에서 출력된 Display 정보를 Data로 사용. ☞ 10 * 14 사이즈에 맞게 구현.

◦ 기본 동작 (9) Text - LCD ☞ 현재 Mode 와 Setting 상태를 표시한다.

(10) 최 종 결 과 ☞ ‣ 초기 단계에서 계획 했던 부분들이 많이 수정 되었다. 1. 독립적으로는 시계, stop-watch, alram, segment, lcd 모두 실행되었다. 2. 각각을 결합하는 단계에서 lcd 구현이 에러가 발생하여 결합단계는 실패 하였다.

HBE - Combo