HW (5월 11일 제출) P405 문제 7 a), d) 주어지 회로에 대한 상태표를 완성하고 주어진 입력에 대한

Slides:



Advertisements
Similar presentations
신선초등학교 2 학년 4 반 김 우혁. 을왕리해수욕장은 인천광역시 중구 을왕동에 위치하였고, 늘 목 또는 얼항으로도 불리며 1986 년 국민 관광지로 지정되 었다. 백사장 길이는 약 700m, 평균 수심은 1.5m 로 비교적 규 모가 큰 편이다. 울창한 송림과 해수욕장.
Advertisements

노인복지정책 노 인 소 득 보 장 정 책 수성대 보건복지경영과 2A 반 임도현.
3 학년 -54 명 4 학년 -53 명 3.4 학년 총인원 -107 명 교사 -21 명 초 등 부 총인원 -128 명 2008 년 1 월 인원보고.
KB 스타 경제 · 금융교실 나는 용돈관리왕. KB 스타 경제 · 금융교실 나는 용돈관리왕 선생님은 ? KB 2  이름 : 김국민  별명 : 스타 왕자  소속 : KB 국민은행 소비자 보호담 당 ( 간단한 자기 소개 : 교육 대상이 흥미 를 가질 수 있는 이미지와.
의료자원 규제현황과 개선방향 자원평가실. 의료자원 관리 개요 규제개혁 토론과제.
수학 일기 제 1 라운드 스피드 퀴즈 피타고라스 수학책 1. 구장산술 2. 주비산경 3. 차근방몽구 4. 기하학원론 5. 산술관견.
1 8 장 대형 순차회로 문제의 해법 1) 시프트 레지스터 2) 카운터 3)ASM 도를 이용한 설계 4)One Hot encoding 5) 복잡한 예제.
내 손 내 손과 친구 손을 그려보세 요. 주제 : 유치원과 친구 활동명 : 친구 손과 내 손 친구 손.
제가 소개할 인물은?? ^ㅡ^B1A4입^ㅡ^니다 5학년4반9번 이하민
1. 비정규노동이란 2. 비정규노동의 확대 원인 3. 비정규노동자의 삶 4. 비정규노동의 문제
「제품 품종」관리체계 개선 설계결과 신 소재 사업화 대비 정보기획실 프로세스표준화그룹 1.추진개요
연 합 남 전 도 회 월 례 회 1부 예배- 찬 송 장 다같이 2011년 1월 2일 1부 예배- 찬 송 장 다같이 기 도
제7장 빈곤아동 담당교수 : 이 상 신.
사 업 계 획 2011년 제1호 - 2월 1일 2011 주 안에서 소통하며 화합하고 참여하며 헌신하는 남신도회
체불임금 행정제도 개선 검토 보고 근로자퇴직급여보장제도 퇴직연금제 주요 내용.
乖乖♂坐好 开始♂上课.
圣诞快乐 乖乖♂坐好 开始♂上课.
교육실무직 인사노무관리 경상북도교육청.
강소농의 성공적 추진을 위한 농업경영담당자의 역할 농촌진흥청 기술경영과 강진구.
문화이벤트 특강 시민축제에 대하여 애니메이션 김철환.
해시 함수.
우리나라 수출농업의 현황과 문제점 김자경.
보건의료 인력양성의 문제점과 방안 김윤미, 전현화, 김지연, 김현정.
한국어 입문 韩国语入门 세종학당 世宗学堂.
제2차 정기총회 감리교 제2연수원 소강 당.
커뮤니케이션 스킬 UP -전화매너- ..
【코인스금융서비스】 7월 KB손해보험 대표시상
구매카드대출 인터넷매뉴얼 (판매기업용) 1.
Computer System Architecture
Sequential logic circuit
Computer System Architecture
오일석, C와 ALPS, 장. 논리적으로 생각하기 © 오일석, 전북대학교 컴퓨터공학.
2013년 6월 21일 건강보험심사평가원 의약품관리종합정보센터
2013년 11월 건강보험심사평가원 의약품관리종합정보센터
연구기획 및 연구개발 과정에서의 특허정보 활용
디지털회로설계 16. 동기식 카운터.
FSM 설계.
Stop Watch 예비제안서 10조 이인희 김민석 박재원
제 4 장 개인수요곡선과 시장수요곡선.
-공인노무사 김 완 식 -외식업중앙교육원 노무관리 교수 -열린인사 노무법인 대표 노무사 (열린 세무 회계 고문)
4장. 데이터 표현 방식의 이해. 4장. 데이터 표현 방식의 이해 4-1 컴퓨터의 데이터 표현 진법에 대한 이해 n 진수 표현 방식 : n개의 문자를 이용해서 데이터를 표현 그림 4-1.
근로자를 위한 퇴직연금 제안.
8장 대형 순차회로 문제의 해법 시프트 레지스터 카운터 ASM 도를 이용한 설계 One Hot encoding 복잡한 예제.
㈜아모레퍼시픽 물류센타 주소 및 담당자 연락처
6장 순차회로 시스템 상태표와 상태도 래치와 플립플롭 순차 시스템의 해석.
서울 2008: 재정분석결과.
퇴직연금제도 도입 보고서(안) 2008년 8월.
Digital design 5장. 동기식 순차논리.
동기식 카운터 설계.
9. 카운터 9-1 비동기 카운터 9-2 동기 카운터 9-3 업/다운 동기 카운터 9-4 동기카운터 설계
3-16. 디지털 시계.
2부 ♬ ‘진짜 사나이 - 남자 교사들의 합창으로 분위기를 up시킨다 - 학생들이 아주 실감나게 즐김.
CALIPER LEAK 불량 개선 대책서 현대위아 (풍정주공).
9강 직접투자와 국제무역 무역학과 한복연교수.
환 율 결 정 이 론 □ 국제수지 접근법(유량접근법) - 탄력성이론 - Mundell-Fleming이론
KB스타 경제·금융교실 은행에 가요.
컴퓨터 계측 및 실습 로터리 엔코더 영남대학교 기계공학부.
제안 목적 고객성향 분석으로 매출 증대 유사업체 분석으로 신상품 홍보 원가요소 분석 및 피드백으로 원가율 관리
청각기관의 구조와 기능2 옥정달.
3단원 디지털 세상 속으로 1. 0과 1의 비밀.
1. 복리후생비 복리후생비란? ✔ 법인이 임원 또는 사용인(파견근로자 포함)을 위하여 지출한 비용
9장 동기 순서 논리회로 경남정보대_논리회로_김 미 진.
특수건강진단 - 특수건강진단 심사 및 프로그램관련 설명
Chapter 09. 동기 순서논리회로.
12월 KB손해보험 설계사 시상 Ⅱ. 전략상품 FC 주차시상<닥플(연만기),아이좋은자녀,운전자보험>
떠나자! 우주로 환영합니다 경상남도사천교육청영재교육원 안녕하십니까? 지금부터 대구광역시 교육과학연구원 발명교육센터 개관에 따른
최우수 요양기관은 신선하고 질 좋은 식재료를 사용합니다
2장 내 슬라이드 처음 만들기 실용컴퓨터 파워포인트.
Relay Board 수리 방법.
KB스타 경제·금융교실 화폐가 태어났어요.
Presentation transcript:

HW (5월 11일 제출) P405 문제 7 a), d) 주어지 회로에 대한 상태표를 완성하고 주어진 입력에 대한 플립플롭 상태와 출력에 대한 타이밍도를 완성한다. 그리고 MaxPlusII 를 이용하여 검증한 결과를 함께 제출한다.

7장 순차회로 시스템의 설계 플립플롭 설계 방법 동기식 카운터 설계 비동기 카운터 설계 상태표와 상태도의 유도

예문 7,8,9 예문 7. 입력 (x )과 출력 (z) 이 각각 하나인 시스템으로 현재 입력이 1이고 이전 2클럭 동안에도 1이었을 때만 1을 출력하는 Mealy 시스템 예문 8. 입력 (x )과 출력 (z) 이 각각 하나인 시스템으로 연속하여 3번 1이 입력된 것보다 연속하여 3번 0이 입력된 것이 최근일 경우에만 1을 출력하는 Moore 시스템 예문 9. 0에서 7까지의 수를 나타내는 3비트 출력을 갖는 시스템으로 출력이 십진수로 0, 3, 2, 4, 1, 5, 7의 순서로 매 클럭마다 바뀌고 한 사이클이 끝나면 다시 반복하는 시스템

예문 10, 11 예문 10. 2개의 입력(x1, x2)과 3개의 출력(z1, z2, z3)을 갖는 시스템으로 출력은 0에서 7 사이의 수를 나타낸다. x1 =0일 때는 상향 카운트(up count), x1 =1일 때는 하향 카운트(down count), x2 =0일 때는 카운트가 다시 반복되며, x2 =1일 때에 카운트가 끝나면 마지막 상태에 머무는 시스템 x1 = 0, x2 = 0: 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 . . . x1 = 0, x2 = 1: 0 1 2 3 4 5 6 7 7 7 7 7 7 7 7 7 . . . x1 = 1, x2 = 0: 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0 . . . x1 = 1, x2 = 1: 7 6 5 4 3 2 1 0 0 0 0 0 0 0 0 0 . . . (물론 x1, x2의 값이 어느 시점에 바뀌면 출력의 순서가 바뀌게 될 것이다.) 예문 11. 버스제어기 (생략)

동기 순차시스템 설계 절차 단계 1: 문제에 대한 설명으로부터 메모리에 저장되어야 하는 것을 결정한다. 즉 가능한 상태가 무엇인지를 결정한다. 단계 2: 필요하다면 입,출력을 2진수로 코드화한다. 단계 3: 시스템의 동작을 설명하기 위해 상태표나 상태도를 만든다. 단계 4 : 입출력 관계는 같지만 상태의 개수가 적은 상태표를 만들기 위해 상태 축소화 기법(9 장)을 사용한다. 단계 5 : 상태할당을 한다. 즉, 상태를 이진수로 코딩한다. 단계 6: 플립플롭의 종류를 선택하고 플립플롭의 입력 맵 또는 표를 만든다. 단계 7: 논리식을 구하고 회로도를 그린다. (조합논리 설계 방식)

상태할당과 2진수로 나타낸 상태표 그림 7.1 설계 예제(그림 6.3) 표 7.1 상태할당 예

설계 진리표 표 7.2 설계진리표 (상태표를 약간 변형 시킨 것) 표 7.2 설계진리표 (상태표를 약간 변형 시킨 것) * 열 q 가 꼭 필요치는 않으나 상태가 숫자의 크기순서가 아닌 경우(표 7.1b, 표 7.1c) 는 도움이 된다. 표 7.3 출력진리표 * Moore 시스템인 경우는 별도의 출력 진리표 * Mealy 시스템인 경우는 설계진리표에 별도의 열(z)를 추가하여 출력을 나타냄

다음 상태 맵 과 출력 맵 q1* = xq2 + xq1 q2* = xq2’ + xq1 z = q1q2 맵 7.1 다음 상태 맵 출력 맵 q1* = xq2 + xq1 q2* = xq2’ + xq1 z = q1q2

예제 7.1 표 7.1b의 상태할당 q1* = xq1’q2’ + xq1q2 q2* = xq1’ + xq2’ z = q1’q2 * 표 7.1a의 할당에 비해 회로가 복잡

7.1 플립플롭 설계 기술 플립플롭 입력에 대한 진리표 구하기 위해 필요한 것 설계에 사용할 플립플롭에 대한 설계표 차기 상태를 구하기 위한 설계 진리표

플립플롭 설계표 플립플롭 설계표는 플립플롭 상태도에서 쉽게 얻을 수 있다. 플립플롭의 상태표를 다르게 표현한 진리표는 각 행에 입력, 현재 상태와 차기 상태가 나열되어 있다. 이 표로 부터 한 상태에서 원하는 상태로 가기 위한 입력 값을 결정할 수 있다. 표 7.4 플립플롭 설계표

D 플립플롭 설계표 그림 7.2 D 플립플롭 상태도 표 7.5 D 플립플롭 설계표

설계 진리표 설계 진리표는 플립플롭 입력 맵을 구하기 쉽게 상태표를 진리표 형식으로 변환한 것 즉, 차기상태와 출력을 현재 상태와 입력에 대한 함수로 나타내는 것이다 표 7.2 설계진리표 (상태표를 약간 변형 시킨 것)

입력 맵과 입력 식 앞의 표 7.2로 부터 플립플롭 입력표를 만들어야 되는데 D 플립플롭인 경우 D1 과 D2 가 q1* 과 q2* 와 동일하므로 추가로 이 들에 대한 열이 필요 없다. 입력식은 다음과 같이 된다. D1 = xq2 + xq1 D2 = xq2' + xq1 Z = q1q2 입력 맵 (표 7.2 이용) 그림 7.3 D 플립플롭 이용한 구현

JK 플립플롭 설계표 그림 7.4 JK 플립플롭 상태도 표 7.6 JK 플립플롭 설계표

JK 플립플롭으로 설계 설계 진리표에 플립플롭 입력들을 위한 4개의 열이 추가 됨. 표 7.7 플립플롭 입력표 설계 진리표에 플립플롭 입력들을 위한 4개의 열이 추가 됨. 음영 처리된 열 q1과 q1*는 표 7.6을 이용하여 음영 처리된 플립플롭 입력 열들을 만든다.

JK 입력 맵 및 최종 해 맵 7.2 JK 입력 맵

T 플립플롭으로 설계(예제 7.3) 상태도와 플립플롭 설계표 시스템 설계를 위한 진리표

T 입력 맵 및 식

진리표 없이 상태표로부터 직접 맵을 구하는 방법 그림에서 보여주는 상태표로 부터 직접 q1* 과 q2*를 위한 맵을 얻을 수 있다 그림 7.5 상태표에서 맵 구하기 * 주의할 점은 상태표의 현상태가 2 진 순서로 되어 있지만, 맵 순서에 맞게 고쳐야 한다.

J1 과 K1의 첫 번째 열을 얻기 위해 q1* 맵의 첫 번째 열을 사용한다 맵 7.4a J1 과 K1의 첫 번째 열 J1 과 K1의 두 번째 열을 얻기 위해 q1* 맵의 두 번째 열을 사용한다 맵 7.4b J1 과 K1의 두 번째 열

q2의 J 와 K를 찾기 위해 q2*의 맵과 q2열을 사용한다 맵 7.4c J2 와 K2의 계산 위와 같은 방법으로 다른 플립플롭에도 적용할 수 있다.

Quick method JK 플립플롭에 대한 빠른 방법 맵의 반이 무정의(적색으로 표시)이다. 맵의 모든 1들은 관련된 변수가 없어지도록 무정의가 있다. 맵 7.4 JK 플립플롭 입력에서 무정의와 1의 쌍

Quick method를 이용한 JK 계산 JK 플립플롭의 함수 식에서 q* = Jq′ + K′q q가 0 일때 q* = J ㆍ 1 + K′ㆍ 0 = J q = 1 일때 q* = J ㆍ 0 + K′ㆍ 1 = K′ 즉, 각 변수에 대한 q* 맵에서 그 변수가 0인 부분은 J를 위한 부분이고, 1인 부분은 K'을 위한 부분이다.

맵의 분리(변수가 3 -> 2로 줄어듬) J1 와 K1 의 계산 J1 = xq2 K′1= x or K1 = x′ 맵 7.5a 빠른 방법을 사용한 J1 과 K1 의 계산 J1 = xq2 K′1= x or K1 = x′ J2 와 K2 의 계산 맵 7.5b 빠른 방법을 사용한 J2 과 K2 의 계산 J2 = x K2 = x′ + q1′

예제 7.4 설계 상태표와 상태 할당 상태 이름을 포함한 진리표를 생성

출력과 D 플립플롭 입력 Z = x' + q1q2 D1 = x' + q1' + q2' D2 = x'q2' + xq2

JK 플립플롭의 진리표 및 식 플립플롭의 입력 방정식 J1 = 1 K1 = xq2 J2 = x′ K2 = x′

7.2 동기식 카운터 설계 4 bit binary counter 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 0, 1…… 표 7.8 16 진 카운터

D 플립플롭을 이용한 카운터 D 플립플롭 입력에 대한 맵 DD = DC′ + DB′+ DA′ + D′CBA DC = CB′ + CA′ + C′BA DB = B′A + BA′ DA = A′

JK 플립플롭을 이용한 카운터 입력식 JD = KD = CBA JC = KC = BA JB = KB = A Quick method를 사용 J를 위한 맵은 다음 맵의 음영처리된 부분이다. (K′는 나머지 부분이다) 맵 7.7 JK 플립플롭 설계를 위한 맵 입력식 JD = KD = CBA JC = KC = BA JB = KB = A JA = KA = 1

카운터 회로 그림 7.6 4 비트 카운터 0부터 31 까지 카운트하는 것은 E 플립플롭을 추가하여 다섯 개의 플립플롭 으로 설계할 수 있다. JE = KE = DCBA

Up/down 카운터 표 7.9 상향/하향 카운터 x = 0 일 때 상향 카운트 x = 1 일 때 하향 카운트

맵 과 입력식 quick mehod를 위해 q = 0 인 부분을 음영처리 JA = KA = 1 JB = KB = x′A + xA ′ JC = KC = x′BA + xB′A′

회로도 4-,5-비트 업(up) 카운터에서처럼 패턴이 계속된다 JD = KD = x′CBA +xC′B′A′ JE = KE = x′DCBA + xD′C′B′A′ 그림 7.7 상향/하향 카운터

예제 7.6 10진 카운터 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 0, 1, .....

맵 및 입력 식 JD = CBA KD = A JC = KC = BA JB = D′A KB = A JA = KA = 1

예제 7.7 임의 순서의 카운터 설계 0, 3, 2, 4, 1, 5, 7, and repeat 예제 7.7 임의 순서의 카운터 설계 0, 3, 2, 4, 1, 5, 7, and repeat 수의 순서와 다르게 카운트하는 카운터의 설계

SR 과 T 플립플롭에 대한 입력 SR과 T 플립플롭에 대한 입력 열을 표에 추가

D 플립플롭의 입력 맵 및 식 q1*, q2*와 q3* 열을 사용하여 구한 D 플립플롭의 입력에 대한 맵과 식

SR 플립플롭의 입력 맵 및 식 S1 = q2'q3 + q2q3' S2 = q1'q2'q3' + q1q2'q3 S3 = q2' R1 = q2'q3' + q2q3 = S1' = q2'q3' + q1q2 R2 = q1q2 + q2q3' R3 = q2

T 플립플롭의 입력 맵 및 식

JK 플립플롭의 입력 맵 및 식 빠른 방법을 사용한 JK 플립플롭에 대한 맵과 식

상태 점검 D 플립플롭인 경우에 상태 110에 있다고 가정 q1=1, q2=1, q3=0을 대치하였을 때의 입력 값 D1 = q2'q3 + q2q3' = 00+11 = 1 D2 = q1'q2'q3' + q1'q2q3 + q1q2'q3 = 001 + 011 +100 = 0 D3 = q2' = 0 상태 다이어그램

예제 7.8 up/down, cycling/saturating 카운터 X=0 : 상향 Y=0 : 순환(cycling) X=1 : 하향 Y=1 : 포화(saturating)

맵 및 입력 식

7.3 비동기 카운터 설계 2비트 비동기 카운터 비동기 카운터에서의 지연

4 비트 비동기 카운터 회로 및 지연 4비트 카운터에 대한 타이밍

비동기 카운터 특징 장점 : 조합 논리회로가 필요 없을 정도로 하드웨어가 간단하다 단점 : 플립플롭들은 동일 클럭에 변하지 않고, 한 플립플롭의 출력이 다른 플립플롭의 클럭으로 동작하기 때문에 지연시간이 길어지게 된다. 시스템의 상태는 모든 플립플롭의 천이가 완료될 때까지 결정되지 않는다.

7.4 상태표와 상태도의 유도 예문 6 : 입력 x와 출력 z를 갖는 시스템으로, 입력된 내용을 메모리에 저장하는 2 가지 방법 이전 세 개의 입력을 저장 연속된 1의 갯 수를 메모리에 저장

방법 1: 최근 세 입력 값을 저장 q1: 현재 입력보다 3 클럭 앞의 값 q2: 현재 입력보다 2 클럭 앞의 값 표 7.10 3개의 플립플롭 상태표 q1: 현재 입력보다 3 클럭 앞의 값 q2: 현재 입력보다 2 클럭 앞의 값 q3: 현재 입력보다 1 클럭 앞의 값 즉. x 입력이 매 클럭마다 q3, q2, q1 로 shift 되어 들어간다.

방법 2: 연속된 1의 개 수의 상태를 저장 1의 개 수에 대한 3 가지의 상태 A 없다, 최근 입력이 0 B 1 번 방법 2: 연속된 1의 개 수의 상태를 저장 1의 개 수에 대한 3 가지의 상태 A 없다, 최근 입력이 0 B 1 번 C 2 번 C 3 번 이상 그림 7.12 상태도와 상태표

방법1 과 방법 2의 비교 방법 1 방법 2 8개의 상태 -> 3개의 플립플롭 필요 연속된 1의 수가 25개일 때의 문제로 바뀌면, 최근 25개의 입력을 저장해야 하고 225 행의 상태 표가 필요. -> 25개의 플립플롭 방법 2 3개의 상태 -> 2개의 플립플롭 필요 연속된 1의 수가 25개일 때의 문제로 바뀌면, 26개 상태가 필요. -> 5 개의 플립플롭

방법 1의 설계 상태할당은 이미 되 있음. 플립플롭은 q1, q2, q3 로 이름 D 플립플롭인 경우 D1 = q2, D2 = q3, D3 = x 2) JK 플립플롭인 경우 J1 = q2, J2 = q3, J3 = x K1 = q2', K2 = q3', K3 = x' 출력 z = q1 q2 q3

방법 2의 설계 표 7.2의 상태할당 이용 해 (맵 7.1, 7.5a, 7.5b) D1 = q1*, = xq2 + xq1 또는 J1 = xq2 K1 = x' D2 = q2*, = xq2' + xq1 또는 J2 = x K2 = x' + q1' z = q1 q2

Map 7.1, 7.5a, 7.5b J1 = xq2 K′1= x or K1 = x′ J2 = x K2 = x′ + q1′ 맵 7.5a 빠른 방법을 사용한 J1 과 K1 의 계산 J1 = xq2 K′1= x or K1 = x′ 맵 7.5b 빠른 방법을 사용한 J2 과 K2 의 계산 J2 = x K2 = x′ + q1′