오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.

Slides:



Advertisements
Similar presentations
SM200 우리의 상품을 세계로 해외사업부영업관리부관리부선적관리부 2 SM200 핸드폰 해외 판매 전략.
Advertisements

어떻게 성경을 읽느냐 ?.  39+27=66 ( 삼구 이십칠 )  역사서 (17 권 )  시가서 (5 권 ): 욥기시편잠언전도서아가  선지서 (17 권 )
[ MOV ] 16 bit data 전송 형식 : [ MOV[P] S D ] SOURCE( 소스 ) Destination( 목적지 ) [ MOV[P] K10 D0 ] 온라인 → 모니터 → 디바이스 일괄 → 디바이스에서 D0 입력 16 진수 2 진수 자리값.
근로조건 저하 없는 근로시간 단축 쟁취 work-shop 2005 년 2 월 24 일 ~25 일 청평풍림콘도 강사 : 기획실장 오 병 철 전국식품산업노동조합연맹.
년 사업계획서 SK 노동조합 2 민주적이고 자주적인 노동조합운영 체계화 간부와 조합원의 일상적 결합으로 현장조직력 강화 집행간부, 대의원의 간부역량강화 고용안정 및 2002 임단투 승리 부당노동행위 근절 연대사업강화 및 노동악법저지 노동자정치 세력화 사업.

프로젝트 학습 중간 보고서 군포초등학교부설 지역공동 영재학급 용호초등학교5학년 이창민.
이탈리아 피자스파게티올리브등.
오 이.
경남이의 백제역사문화탐방 진주시청소년수련관.
엠보팅 주민참여예산 투표방법 안내 ■ 앱에서 투표하기 1. 핸드폰 전면에서 앱스(삼성) 찾아 누르기
VHDL Package & Subprogram
Copyright SangSangDom, All Rights Reserved.
VHDL 프로그램은 비동기 Reset을 갖는 D 플립플롭을 구현한 것이다
Dept. of Electronics & Info. Eng. Prof. Jongbok Lee
ASIC의 개요 ASIC(Application Specific Integrated Circuit) 특정 용도 주문형 집적회로
디지털 시계 설계.
4장. 조합 논리 회로 Lecture #4.
국내 5대 기업집단(그룹)의 세전이익 추이 2014 SK 그룹 현대자동차그룹 삼성그룹
피티라인 파워포인트 템플릿.
Design of Digital Clock (디지털 시계의 설계)
2D 게임프로그래밍 프로젝트 2차 발표 유제원.
요한계시록 진행과정 장 차 될 일 천년왕국(20:4-6)/흰보좌(20:11-15) 20
7 조합논리회로 IT CookBook, 디지털 논리회로.
4 컴퓨터에서 활용되는 디지털 논리회로 IT CookBook, 컴퓨터 구조와 원리 2.0.
순차로직 개요.
가산기 설계.
Multiplexer 설계.
VHDL의 기본 Lecture #5.
VHDL Description D-latch C=1 일 때 Q 는 D의 입력 값 이 전달된다.
신호등 제어기 차량의 흐름에 따라 신호등의 신호를 제어하는 장치 신호등 제어기의 입출력 신호
19장. 원격 조정 로봇 설계 김용애 1조 김정은 이동한 이재흔.
예수님 탄생 목자.박사들 경배 (마2:1-12, 눅 2:1-7).
VHDL Package and Sub program
Chap. 18 라인 트레이서의 설계 라인 트레이서 바닥에 그려진 선을 따라가는 로봇을 의미 라인 트레이서 구성도
Ch2-2. VHDL Basic VHDL lexical element VHDL description
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
VHDL의 기본 Lecture #4.
Data type and Object 자료형 변환 함수들은 std_logic_arith 패키지에 정의되어 있음.
FSM 설계.
새로운 물질, 나만의 스마트폰 디자인하기.
Section 02 덧셈 회로 BCD 덧셈 회로 BCD 코드에서 십진수 (16)BCD =
1.고객맞이 상황 응대자세 화법 중점사항 매장 밖 에 서 도보 고객 고객 방향 쪽으로 바른 자세를 취한다
Programmable Logic Device
BLACK OUT 신개념 연합동아리 블랙아웃에서 1기를 모집합니다!
오늘의 관심주 오늘의 관심종목 외인/기관 순매수 특징주 코스피 상해종합 다우존스 S&P500 15/12/07 외국인 기관
“식자재 구매카드” 서비스 제안내용 에/듀/빌 1. 제안 개요
수학8가 대한 92~95 쪽 Ⅳ. 연립방정식 1. 연립방정식과 그 풀이 및 활용 >끝내기전에(9/9) 끝내기 전에.
논리회로 설계 및 실험 3주차.
VHDL 디지털시계 2.
제12주제 갈보리언덕에서 누가복음 23:33-49.
보라 처녀가 잉태하여 아들을 낳을 것이요 그 이름은 임마누엘이라 하리라 (이사야7:14)
가산기 설계.
천연비누 만들기 체험하는 사람들.
발표: G2 박진수 사도요한 준비: G2 박진수 사도요한 T3 김택준 미카엘
디지털 시계 설계 장성락 전영진 임종엽 전보현 이형준.
체크포인트 가정 내 일어나는 사고에 대해 알아보고 사고예방을 위해 주의한다. | 예방법 장소별 사고 – 방과 거실 1 2 높은 곳 에 물건 두지 않기! 날카로운 모서리는 천으로 씌우기!
LCD.
2 수의 체계 IT CookBook, 디지털 논리회로.
耽羅國 建國神話 허남춘(제주대 국문학과 교수)
요한 계시록 2:12~17 버가모 교회 : 예수님의 모습-좌우에 날썬 검을 가진자 13절-예수님께서 사는 곳을 아신다.
동양의 색채 1.인 도 인더스 강 유역에서 고대(B.C 2000 ~ 3000)의 청동기시대에 문화가 이미 발달하였고, 메소포타미아와 유사하고 이는 신에 관한 것이 많고, 도시계획이 이루어져 있었으며, 이 시대부터 모자이크 타일이나 돌에 의한 다채로운 재료가 사용되었다.
노동조합 활동 사례 희망연대노동조합.
논리회로 설계실험 ICE ICE 담당교수 : 김 인 수.
시민이 체감하는 편리한 건축인허가 절차 개선 추진.
전환사채의 이해 (마지막 남은 재테크 블루오션).
이미지 지금 아니면 언제 사용하지? 소멸알림톡 페이지 여행은 이거 하나면 돼! 없는 거 빼곤 다 있다!
VHDL 응용 Lecture #10.
매스펀 문제 2.
Presentation transcript:

오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문

Section 03 비교기 비교기 진리표와 카르노 맵 2입력 일치 회로 2입력 불일치 회로 : EXOR 회로 그 자체 2비트 비교기

Section 03 비교기

Section 03 비교기 비교기의 VHDL 기술 데이터 플로우 모델

Section 03 비교기 동작 레벨

Section 03 비교기 입력 버스 폭을 확장한 비교기 비트수가 많은 비교기에서는 1비트 비교기를 확장하는 것도 하나의 방법 1-비트 비교기

Section 03 비교기 입력 버스 폭을 확장한 비교기 반비교기의 기능 강화 : 여러 개의 비트를 조사 가능하도록 기능강화 동작: 1. 반비교기 LG=1 이면 하위비트 무시 LG_OUT만 1 2. 반비교기 SM=1 이면 하위비트 무시 SM_OUT만 1 3. 반비교기 EQ=1 이고 하위비트 LG=1 이면 LG_OUT만 1 하위비트 SM=1 이면 SM_OUT만 1 하위비트 EQ=1 이면 EQ_OUT만 1

Section 03 비교기 전비교기를 응용한 4비트 비교기 동작: 1. 반비교기 LG=1 이면 하위비트 무시 LG_OUT만 1 2. 반비교기 SM=1 이면 하위비트 무시 SM_OUT만 1 3. 반비교기 EQ=1 이고 하위비트 LG=1 이면 LG_OUT만 1 하위비트 SM=1 이면 SM_OUT만 1 하위비트 EQ=1 이면 EQ_OUT만 1 X>Y => LG=1 X<Y => SM=1 X=Y => EQ=1

Section 03 비교기 전비교기 및 4비트 비교기의 VHDL 기술 전비교기를 부프로그램화 function 문은 1개의 값을 반환할 때 사용 procedure 문은 여러 개의 값을 반환할 때 사용 function 문 variable 문

Section 03 비교기

Section 03 비교기

Section 03 비교기 procedure 문

Section 03 비교기 procedure 문

Section 03 비교기 procedure 문

Section 03 비교기 동작 레벨

Section 03 비교기 테스트 벤치

Section 03 비교기 시뮬레이션 결과

Section 04 인코더 인코더(encoder, 부호기) : 입력에 대응하는 부호를 만들어 내는 회로 전반의 명칭 디코더(decoder, 복호기) : 어느 부호로부터 원래의 신호를 재생하는 회로 10진-BCD 코드 단점 입력 [IN0]를 사용하고 있지 않기 때문에 스위치가 전부 OFF이거나 스위치 [0]이 ON이 되면 출력은 모두 ‘0’. 결국, 이 회로는 이러한 2개의 상태를 식별할 수 없음 2개 이상의 스위치를 동시에 눌렀을 때 문제 발생 (스위치 2, 5 동시에 누르면 출력은 7)

Section 04 인코더

Section 04 인코더 문제를 해결한 인코더 (입력3 출력 2의 경우) 스위치가 아무것도 눌려 있지 않았을 때나 여러 개의 스위치가 눌려 있을 때는 [OUT1]='1', [OUT0]='1‘ 스위치가 1개만 눌려 있을 때는 스위치 번호를 [OUT0], [OUT1]에 출력

Section 04 인코더

Section 04 인코더 10진-BCD 인코더의 시뮬레이션 동작 레벨 When문을 이용하여 각각의 문장에 해당하는 처리 스위치를 2개 누르거나, 전부 눌러지지 않았을 경우 “1111”을 출력

Section 04 인코더 테스트 벤치 반복 처리에 for 문을 사용하여 if 문과 연결자[&]로 기술

Section 04 인코더 시뮬레이션 결과

Section 04 인코더 패키지 호출과 타입 변환 함수 VHDL에서는 데이터 타입이 다른 신호를 직접 대입이 불가능 따라서, 서로 다른 데이터 타입을 대입하려면 타입 변환 함수를 사용해서 데이터 타입을 동일하게 해야 함 타입 변환 함수는 종류에 따라 새롭게 호출할 패키지가 각기 다르므로, [std_logic_1164]의 패키지만으로는 컴파일시 오류가 발생 지금까지 사용한 [std_logic_1164]은 일반적인 회로 기술이나 시뮬레이션 기술에서 사용하는 기본 패키지이지만, 이 밖에도 ·std_logic_signed: 부호가 있는 연산 ·std_logic_unsigned: 부호가 없는 연산 ·std_logic_arith: 부호 혼재 연산 타입 변환 함수와 관련된 대표적인 패키지 conv_std_logic_vector(값, 비트 폭); Integer 형을 std_logic_vector 형으로 변환하는 함수 std_logic_arith 패키지를 호출 conv_integer(값);  std_logic_vector 형을 integer형으로 변환하는 함수 std_logic_unsigned 패키지를 호출 사용할 때는 필요한 패키지 호출 방법 use IEEE.호출할 패키지. all;

Section 05 디코더 디코더 : 인코더 등으로 부호화한 데이터를 원래의 신호로 되돌리는 회로 BCD-10진 디코더

Section 05 디코더 BCD 코드에서는 10 ~ 15를 사용안함

Section 05 디코더 BCD-10진 디코더

Section 05 디코더 BCD-10진 디코더 테스트 벤치

Section 05 디코더 BCD-10진 디코더의 시뮬레이션

Section 06 7-세그먼트 디코더의 설계 7-세그먼트의 동작

Section 06 7-세그먼트 디코더의 설계 진리표, 카르노 맵, 논리식, 회로도

Section 06 7-세그먼트 디코더의 설계 진리표, 카르노 맵, 논리식, 회로도

Section 06 7-세그먼트 디코더의 설계 7-세그먼트 디코더의 시뮬레이션

Section 06 7-세그먼트 디코더의 설계 7-세그먼트 디코더의 시뮬레이션

Section 06 7-세그먼트 디코더의 설계 7-세그먼트 디코더의 시뮬레이션

Section 07 패리티 회로 패리티(parity) 4비트 패리티 생성기 데이터 전달시 잡음 등의 영향으로 데이터가 올바르게 전달될 수 없을 경우를 대비하기 위한 것으로, 데이터 오류를 검출하는 방법 4비트 패리티 생성기 짝수 패리티 경우

Section 07 패리티 회로 5비트 패리티 검사기 짝수 패리티 경우 홀수 패리티 경우 Odd_out: A~D 입력 1의수 홀수 :1 1의수 짝수 :0 정상동작: 입력 1의 개수 짝수 비정상동작 : 입력 1의 개수 홀수 정상동작: 입력 1의 개수 홀수 비정상동작 : 입력 1의 개수 짝수

Section 07 패리티 회로 4비트 짝수 패리티 생성기의 시뮬레이션 데이터 플로우 레벨의 기술

Section 07 패리티 회로 for-loop 문에 의한 동작 레벨의 기술

Section 07 패리티 회로 시뮬레이션 결과

Section 07 패리티 회로 시뮬레이션 결과