Install & Simulation VLSI 시스템 설계

Slides:



Advertisements
Similar presentations
Pspice 를 이용한 회로설계 기초이론 및 실습 년 10 월 째 주. PART Ⅰ. PSpice 일반 Chapter 1 PSpice 시작 Chapter 2 PSpice 입문 PART Ⅱ. PSpice 시뮬레이션 Chapter 3 시뮬레이션 일반 Chapter.
Advertisements

YES C 제 1 장 C 언어의 개요 1/34 제 1 장 C 언어의 개요 문봉근. YES C 제 1 장 C 언어의 개요 2/34 제 1 장 C 언어의 개요 1.1 프로그램과 C 언어의 특징 1.2 C 언어의 프로그램 구성 1.3 비주얼 C++ 통합 환경 들어가기.
Page 1 Android Programming November 04 / 2009 S/W Junhyuk Jang.
IspLEVER 6.1 을 이용한 회로 설계 (Schematic). Table of Contents ispLEVER ispLEVER 6.1 tool 의 시작프로그램 2.Lattice Device 의 Design 1) 프로그램시작 2) 새로운 Project 만들기.
Computer Graphics 윈도우 프로그래밍 김 창 헌김 창 헌. Computer Graphics 2 윈도우 시스템  윈도우  스크린 위에서 독립적으로 움직일 수 있는 사각형 영역  윈도우 시스템  유저와 어플리케이션간의 그래픽 스크린을 통한 인터페이스 를.
임베디드 모바일 프로그래밍 1 3. 첫 번째 어플리케이션 작성 텍스트 ‘Hello BREW ^^’ 를 출력하는 프로그램 작성하기 (1) App. 프로젝트 - 시작 프로젝트를 작성하기 위하여 MS-Visual C++ 를 실행시킨다. [File  New] 를 선택하고, New.
School of Computer, Information & Communication Engineering
Ubiquitous Computing Lab NS-3 Basic 박성진
디지털시스템실험 2주차 고려대학교 전기전자전파공학부.
Installation Guide for LabVIEW
CH2 OrCAD Capture CIS.
Term Project iTUTOR를 이용한 PIC 동작 검증 보고서와 모든 소스코드 압축하여 제출
01. 안드로이드 개요와 개발환경 구축 제목.
myCortex-LM8962 #2 LM3S8962의 개발환경 구축 BARAM 2010–2-3 바람 16기 이우석
IT Application Development Dept. Financial Team May 24, 2005
Minitab 16 Single-user License 설치가이드
SAP QUERY SAP R/3 4.6C.
Chapter 02 JAVA 프로그래밍 시작하기 01 실무에서 사용하는 JAVA 개발 환경 02 JAVA 프로그램 작성
기초C언어 제1주 강의 소개, C언어 개요, Eclipse 사용 컴퓨터시뮬레이션학과 2015년 봄학기 담당교수 : 이형원
크로스 컴파일 환경 구축.
EndNote 이용법 - 레퍼런스 관리 프로그램 -.
Network Security - Wired Sniffing 실습
제4장 Cross Compiler 설치.
Toad for Oracle 설치 방법.
Toad for SQL Server 제품 소개서 – 프로넷소프트㈜.
Minicom,tftp,nfs설정,vnc설정
Power Java 제4장 자바 프로그래밍 기초.
PXA255-FPGA 장비 개요 및 실습 Lecture #9.
7-Segment FND Controller 구현
인스톨쉴드9 데브스튜디오 사용 매뉴얼 (VB6에서 개발후 배포프로그램 만들기)
Premiere 정태영 임정호.
PXA255-FPGA 장비 계요 및 실습 Lecture #9.
MAX+PLUS II 설치 및 디지털 시스템의 설계 방법
Computer Science & Engineering
임베디드 시스템 개론 3주차 Embedded System..
논리회로 설계 및 실험 2주차.
Quartus 를 이용한 ROM 설계 ROM table 의 작성
Visual C++ Programming
Android 개발환경 설치 및 Hello World
Computer Architecture
OrCad Capture 정원근.
Electronic Engineering 2
DataStage 운영자 지침서 Operator’s Guide
IT CookBook, VHDL을 이용한 FPGA 디지털 설계
디지털 시스템 설계(3).
1. Log in WCMS에서 사용하는 ID와 PW를 동일하게 사용.
Introduction to OrCAD Capture
한양대 교육공학과 석사과정 양선영 Cmap Tool 사용법 한양대 교육공학과 석사과정 양선영
Premiere의 개요 Adobe사에서 제작한 동영상 편집 소트프웨어로 비디오와 오디오 편집뿐만 아니라 애니매이션, 사진 및 그래픽 등을 동영상에 첨가하여 결합된 형태인 디지털 무비(Digital Movie)를 제작하는 프로그램 Premiere는 원래 매킨토시용으로 개발된.
악보 전문 제작은 Finale에게 맡겨라!.
11차시_방송 프로그램 제작 편집 하기.
강의 소개, 자료구조의 개념, SW 개발과 자료구조
Information Security - Wired Sniffing 실습
인터넷응용프로그래밍 Atom(개발 환경).
EndNote 정기교육 - STEP 1(2차)-
Electronic Engineering 2
7주차 FPGA 보드 사용법.
논리회로 설계 및 실험 2주차.
소프트웨어 형상관리: 목차 변경 및 형상관리의 기초 개념 형상항목 확인 및 버전관리 변경관리 감사 및 감사보고 99_11
Electronic Engineering 2
1강 포토샵 기초 한겨레문화센터 전임강사 임 규 근.
의학도서관 기록물 보존 사업 원내 로 대용량 파일 첨부방법
C언어 개론.
뇌를 자극하는 C 프로그래밍.
INET, Inc. Ws_watch User Guide.
Pspice를 이용한 회로설계 기초이론 및 실습 3
시스템 분석 및 설계 2007학년도 2학기 멀티미디어공학과 2학년 대상 담당교수 박태희
박 현 미 울산여자상업고등학교 창업포스터 만들며 포토샵과 친해지기 박 현 미 울산여자상업고등학교.
7주차 실습 FPGA 보드 사용법.
Eclipse를 이용한 Embedded Linux 응용 프로그램 개발
Presentation transcript:

Install & Simulation VLSI 시스템 설계 ModelsimXE III 6.2c Install & Simulation VLSI 시스템 설계

Modelsim 소개 Modelsim이란? Modelsim 종류 Simulation and debug tool for complex ASIC and FPGA designs. Support multiple languages including Verilog, SystemVerilog, VHDL and SystemC. Modelsim 종류 Modelsim Homepage에서 제공 (www.model.com) 유료 : Special Ed. Linux Ed. Personal Ed. Designer Ed. 무료 : PE Student Edition Modelsim Xilinx Edition Xilinx사에서 제공하는 Modelsim (유료 / 무료) Xilinx에서 지원하는 Library포함 SE와 PE의 중간적 성능

Modelsim 설치 설치 과정 Xilinx Homepage로부터 Download 압축 해제 & setup.exe 실행 MXE III Starter 버전 설치 Xilinx Homepage로부터 License 획득 License 적용

ModelsimXE III 다운로드 www.xilinx.com 에 접속하여 다운로드 1 2 5 3 4

ModelsimXE III 설치 압축 해제 후 Setup 실행 Select component MXE III Starter 선택 Choose Destination Location Select Library Installation Option Full Verilog 선택 Select Program Folder Add Modelsim to path License Request Yes 선택 Serial 필요함

ModelsimXE License Request Setup후 자동으로 실행 Xilinx에 계정을 가지고 있는 경우 Xilinx에 계정을 생성

ModelsimXE License Request Request Form 작성 계정생성

ModelsimXE License Request License Request한 PC에서만 실행됨 e-mail로 license.dat가 전송되므로 정확하게 기입할 것.

License 적용 License Wizard 시작 시작 – 모든프로그램 – Modelsim XE III 6.2c 2 1

License 적용 license.dat의 Location 지정 지정한 e-mail을 통해 받은 license.dat 저장 경로에 한글이 포함되지 않도록 주의 File Location 지정 후 환경변수에 추가 환경변수에 자동으로 추가

Project Flow Project는 Design을 검증하기 위한 일련의 작업을 수월하게 진행되도록 하는 Work Space 생성. Design한 모든 Code를 Project에 넣어 준다. 모든 Code는 자동으로 Working Library에 추가된다. Working Library 내의 모든 Design을 Modelsim platform이 지원하는 format으로 Compiling 하게 된다. Compile된 Design을 읽어들이고 Link하는 작업 이후 Time을 0으로 세팅하여 시뮬레이션을 시작한다. 원하는 결과를 얻지 못한 경우 Debugging 기능을 이용하여 문제가 되는 부분을 해결한다.

Modelsim 화면 구성 ※작업창 ※Workspace ※Transcript Code 작성, Debugging Waveform, Signal, Dataflow 표시 ※Workspace code작성 / simulation / debugging 등 작업전환 ※Transcript 작업 상황을 나타냄 User가 Modelsim에 명령을 내리는데 이용

Create a Project File -> new-> project Project name과 Location 지정 (한글 사용시 에러발생) Libraray name은 Default대로 사용

Add New Items to the Project 또는 workspace에서 우클릭 기존의 파일을 추가하는 경우 Source file name ‘Project” Tap이 생겼다. File Type을 Verilog로 설정한다.

Compiler 설정 Compile시 Error Message를 출력하도록 설정함 Workspace에서 우클릭 -> Project Settings 선택

Edit Source File Workspace의 Source file을 편집 ※저장되지 않았음을 나타내는 별모양 Ctrl + S 또는 디스켓 모양 아이콘이나 또는 File -> save로 저장함 Tap을 클릭함으로써 편집할 파일을 선택할 수 있다.

Source Compilation Workspace 우클릭 -> Compile -> Compile All 또는 Menu에서도 할 수 있다. Compile 수행 전 모두 저장한다.

Compiler Error Message Syntax error ※Semicolon( ; )이 빠짐 문장이 끝나지 않은 것으로 파악하여 19, 23 Line에서 Syntax Error 발생 Compile 실패 Compile 성공 수정 후 location/source file Error detail Compiling summary

Run Simulation Project에서 사용중인 상위 Module을 선택 선택 Start Simulation 아이콘도 동일

Simulation Mode 화면구성 Run : 지정된 시간만큼 (100ps) Restart Run –All : 계속 수행 ※내부 구조 Initial과 Always, Instantiated module ※Design Unit내부 Register와 Net을 보여줌 ※Debug를 위한 창 simulator을 종료하지 않고도 Debugging 가능함 커서가 vsim으로 바뀐 것을 볼 수 있다.

Signal List List를 통해 Timing / Signal 상태 파악 Timing 정보 ※우클릭->Add to List Workspace나 Object, 둘 중 어느 곳이나 가능 Signal Value

Add Signal to Wave Simulation 결과를 Waveform으로 표현 ※새창으로 연다. 큰 화면으로 보기 좋음 ※우클릭->Add to Wave Workspace나 Object, 둘 중 어느 곳이나 가능 ‘Wave’ Tap이 생성됨

Wave Window 커서 위치의 현재 상황 ※관찰 Range를 설정 ※수치 표시방식 설정 Range 마우스 우클릭 또는 키보드 ※수치 표시방식 설정 Signal 개별적으로 설정가능 Range Simulation이 끝난 시간

Saving Macro Macro : 원하는 Signal을 Wave나 List에 일괄 추가 Wave 창에 표시중인 모든 signal을 Macro file로 저장 *.do 로 저장된다.

Macro 사용 Transcript 창에서 do 명령사용 경로명에 \이 아니라 /사용 do 경로명/파일이름.do