Ch2-1. VHDL Introduction VHDL 정의 VHDL의 역사 VHDL의 장점 HDL의 종류 VHDL 모델링

Slides:



Advertisements
Similar presentations
컴퓨터 원리 A,B,C 1,2,3 논리소자 (AND,OR..) 출력신호 - 기능 : 명령해독, 계산실행 - 진공관, 트랜지스터 전기신호 출력신호.
Advertisements

Big Data & Hadoop. 1. Data Type by Sectors Expected Value using Big Data.
임진수 이현철. 회로 설계 과정 합성 ?  합성 도구가 주어진 HDL 코드를 Library 에 맞춰 회로로 변경시켜주는 과정  모든 코드가 합성되는 것은 아니다 !
컴퓨터와 인터넷.
ASIC (Application Specific Integrated Circuit)
디지털시스템실험 2주차 고려대학교 전기전자전파공학부.
Introduction 2007년 2학기.
VHDL 프로그램은 비동기 Reset을 갖는 D 플립플롭을 구현한 것이다
ASIC의 개요 ASIC(Application Specific Integrated Circuit) 특정 용도 주문형 집적회로
1. 컴파일러 개론 1-1. Compiler 정의 1-2. Language Processing System
Design of Digital Clock (디지털 시계의 설계)
1. Windows Server 2003의 역사 개인용 Windows의 발전 과정
순차로직 개요.
가산기 설계.
1장. 컴퓨터의 기초 Lecture #1.
Multiplexer 설계.
컴퓨터 구조학 정보보호학과.
Verilog HDL 이론.
(Integrated System Design Lab)
1장 서론 1.1 VHDL 및 논리 합성 탄생 배경 1.2 VHDL 과 ASIC 설계 환경과의 관계
오브젝트 플립플롭 IT CookBook, VHDL을 이용한 디지털 회로 입문.
To Hear will be forget To see will get memory again To do will be know.
PXA255-FPGA 장비 개요 및 실습 Lecture #9.
Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계.
19장. 원격 조정 로봇 설계 김용애 1조 김정은 이동한 이재흔.
COMPUTER ARCHITECTIRE
시스템집적반도체 설계 검증 환경과 기법 Ch 7.
PXA255-FPGA 장비 계요 및 실습 Lecture #9.
MAX+PLUS II 설치 및 디지털 시스템의 설계 방법
VHDL Package and Sub program
Chap. 18 라인 트레이서의 설계 라인 트레이서 바닥에 그려진 선을 따라가는 로봇을 의미 라인 트레이서 구성도
UNIT 06 JTAG Debugger 로봇 SW 교육원 조용수.
VHDL Design : Barrel Shifter
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
Ch2-2. VHDL Basic VHDL lexical element VHDL description
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
디지털시스템설계 과목 담당교수 : 원 충 상 한국교통대학교 컴퓨터공학과
컴퓨터과학 전공탐색 배상원.
HDL의 이해 Lecture #3.
HDL의 이해 Lecture #3.
디지털 시스템 설계(3).
602 LAB FDTD 를 이용한 Acoustic Simulation 지도: 이형원 교수님 차진형.
8051기반의 음성 인식 프로세서 설계 Chang-Min Kim and Soo-Young Lee
Microprocessor I/O Port & CLCD Noh Jin-Seok.
오브젝트 하드웨어 기술 언어 IT CookBook, VHDL을 이용한 디지털 회로 입문.
Programmable Logic Device
VHDL Mealy and Moore model
자바 5.0 프로그래밍.
디지털회로설계 (15주차) 17. 시프트 레지스터와 카운터 18. 멀티바이브레이터 * RAM & ROM.
제6장 VLSI 설계 방법 정보통신공학과 교수 이종복
VHDL.
오브젝트 순서회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
논리회로 설계 및 실험 5주차.
볼링게임 시스템 3조 오지연, 손수경.
2019년도 전자정보공학과 이수체계도 1학년(트랙) 2학년(트랙) 3학년(트랙) 4학년 1학기 2학기 1학기 2학기 1학기
04. DBMS 개요 명지대학교 ICT 융합대학 김정호.
가산기 설계.
디 지 털 공 학 한국폴리텍V대학.
ATmega128의 특징 아이티즌 기술연구소
7주차 실습 FPGA 보드 사용법.
VHDL를 이용한 DES 설계 정보통신컴퓨터공학부 5조 김인옥, 백미숙
Introduction to JSP & Servlet
Ⅰ 전자기초 Ⅱ 디지털 논리회로 Ⅲ C언어 기초 Ⅳ AVR 마이크로 컨트롤러 Ⅴ 마이크로 컨트롤러 개발환경
논리회로 설계 및 실험 4주차.
.Net FrameWork for Web2.0 한석수
전자정보통신 공학부 이승만 PSpice를 이용한 시뮬레이션 전자정보통신 공학부 이승만
SoC 기술-2.
1장 C 언어의 개요 C 언어의 역사와 기원 C 언어의 특징 프로그램 과정 C 프로그램 구조 C 프로그램 예제.
컴퓨터는 어떻게 덧셈, 뺄셈을 할까? 2011년 10월 5일 정동욱.
아날로그 신호를 디지털 신호로 변환하는 A/D 변환기 A/D 변환 시 고려하여 할 샘플링 주파수 D/A 변환기
Presentation transcript:

Ch2-1. VHDL Introduction VHDL 정의 VHDL의 역사 VHDL의 장점 HDL의 종류 VHDL 모델링 VHDL in MAX-PlusII

VHDL Introduction VHDL : Very High Speed Integrated Circuit Hardware description Language (HDL) 다양한 디지털 시스템의 하드웨어의 기능 및 구조를 기술하고 설계, 모델링, 시뮬레이션, 검증이 가능 하도록 고안된 언어로써 직접 회로를 그려서 하드웨어를 설계하는 방식(bottom-up)과는 반대로 HDL 문법 에 따라 하드웨어의 동작을 기술하는(top-down)방식의 high level language이다.

VHDL Introduction 설계 level Design System level Chip level Register level Gate level Circuit level Layout level : 컴퓨터, 하드디스크 마이크로 프로세서 RAM, ROM.. 레지스터, 카운터, 먹스 AND, OR, NAND, FF R, L, C, TR… Metal, Semiconductor

VHDL Introduction 1960, 70년대 1980년대 1990년대 Design Method Transistor VLSI 설계 방법의 변화 1960, 70년대 1980년대 1990년대 Design Method Transistor level Gate or RTL level Logic, Function, Algorithm level Design Tool Layout Editor Schematic Combination With HDL Design Size SSI, MSI (>103 gate) LSI, VLSI (103 - 105 gate) (>105 gate)

VHDL을 이용한 디지털 시스템 제작 디지털 시스템의 제조 공정 Design Specification : 어떤 논리 회로를 설계 할 것인가를 구상. Design Entry : 논리 회로를 어떤 방법으로 어떻게 설계할 것인가를 결정 - Graphic Design (Schematic Capture) - HDL (Hardware Description Language); Design Compilation : 문법검증, 하드웨어 구현, 시뮬레이션, 프로그래밍 파일 생성 Function Verification : 회로기능 검증 Timing Verification : 클럭 주파수나 시간지연에 따른 오동작을 검증. Device Programming : 논리 회로를 프로그래밍 In-System Verification : 시스템이 꾸며진 상태에 서 하드웨어 검증 System Production : 제품 제조

VHDL 의 역사 ▷ 1970년 초부터 미국방성은 VHSIC 계획 동안 그들이 구입하는 전자장 비의 효율적인 관리를 위하여 기술이나 Design의 변화에 관계없는 강력 한 HDL을 찾아오고 있었다. ▷ 1981 VHDL 개발 작업 시작 ▷ 1983년 7월 Intermetrics, IBM, TI의 개발팀은 정부로부터 공식적으로 VHDL을 개발할 것을 허락 받았다. ▷이 개발팀은 VHDL Version 7.2를 1987년 2월에 완성했다. ▷ IEEE는 1986년 3월에 자체에 VHDL Analysis and Standardization Group(VASG)을 형성하여 VHDL을 연구, 발전시키도록 했다. ▷ IEEE는 1987년에 "IEEE Standard_1076_1987"을 만들어 미 국방성의 인정을 받고 각 EDA 회사들의 지지를 받으면서 표준 VHDL을 내놓게 된다. ▷ 1992년에 IEEE는 다시 "IEEE std_1164_1992"를 내놓는데 이것은 Std_1076판의 수정본이다. IEEE는 매 5년 단위로 수정 VHDL판을 내놓을 예정이다. ▷ 1993년 : IEEE 1076-1993 발표 ▷ 1995년: IEEE standard 1076.3, 1976.4 발표

VHDL 의 장점 모든 수준에서의 기술이 가능하다. VHDL을 이용하여 가장 추상적인 단계로부터 구체적인 단계까지의 modeling이 가능하다. 즉 Behavioral 기술, RTL(Register Transfer Level) 기술 및 Gate Level의 기술을 선택적으로 사용 할 수 있다. VHDL은 계층 독립적이다. VHDL이 여러 가지의 서로 다른 Simulator, Technology 나 Fabrication Process로 구현될 수 있다는 뜻이다. VHDL 사용자는 얼마든지 다른 Technology를 선택하여 자기의 Design을 제작 구현 할 수 있다. VHDL은 호환성이 높다. IEEE Standard (하드웨어 개발, 문서화)로 규정되어 있으므로, VHDL을 사용한 Design은 많은 다른 회사들간의 호환성이 보장된다. VHDL은 다양한 검증이 가능하다. VHDL을 이용한 Top-Down으로 설계기간이 훨씬 단축되고, 논리,신호,시스템 검증으로 오류를 초기에 수정 할 수 있다. 또한 문서화로 설계의 재사용 및 변경이 가능하다.

HDL 의 종류 언어 특징 비고 VHDL 다양한 기술능력 (최상위-최하위) 알고리즘 구현에 많이 사용 Verilog HDL 현재 산업체에서 많이 사용. ABEL-HDL VHDL보다 낮은 레벨의 언어 DATA I/O사에서 개발 AHDL Altera사의 HDL Altera사의 제품에만 적용

VHDL descriptions 1. Behavioral Description (동작묘사) - Functional or Algorithm Description - High Level Language Program 과 유사 - 문서화를 위해서 우수 - VHDL의 순 차문 (Process) 사용 2. Dataflow Description (데이터 흐름 묘사) - Behavioral Description보다 한 단계 낮은 Level - Boolean Function, RTL, 또는 연산자 (AND, OR) 표현 3. Structural Description (구조묘사) - 가장 하드웨어적 표현에 가까움 - 구성요소 (component) 및 연결(port) 까지 표현 - 합성을 위해 사용 4. Mixed Description (복합묘사) - 지금까지 기술된 방식을 혼합적으로 사용 - Simulation 및 합성 가능 초보자레벨 전문가레벨

VHDL description Behavioral Description 예 Dataflow Description 예 begin process(a, b) if a = b then equal <= '1'; else equal <= '0'; end if; end process; end sample; begin z <= a or b ; END test1;

VHDL description Structural Description 1. Component문 이미 설계한 Entity를 부품으로 간주하여 구조적으로 설계하는 문이다. 예) entity nand_component is port( in1, in2, in3, in4 : in std_logic; out1, out2 : out std_logic ); end nand_component; architecture sample of compare_logic is component nand2 -- component nand2를 선언 port( a, b : in std_logic; y : out std_logic ); end component; begin 2. Generate문은 Component를 반복적으로 사용하기 위해서 사용한다.

VHDL in MAX-PLUSII Text Editor를 이용한 회로의 구현 VHDL 문법에 의한 회로 기술

VHDL in MAX-PLUSII Waveform Editor 를 이용한 표현 그래픽 Editor를 이용한 회로의 표현

VHDL in MAX-PLUSII compile Timing Simulation