쉬프트 레지스터 용어 Shift Register: N-bit 데이터를 직렬 혹은 병렬로 N-bit 레지스터에 이동 저장하는 동기식 순차회로. Left Shift: 쉬프트 레지스터에서의 데이터의 이동이 오른쪽에서 왼쪽으로 (MSB방향으로) 이동하는 동작으로 한 클록 펄스마다.

Slides:



Advertisements
Similar presentations
13 강 논리회로 2 과목 전자계산기 구조 강사 이 민 욱. 13 강 논리회로  논리회로 1. 부울 대수 (Boolean Algebra) 에서 사용하는 기본 연산자 ① 논리부정 : NOT ( ` ) 논리부정은 F = NOT A 의 표현을 F =A` 로 표현 ② 논리곱.
Advertisements

레지스터 (Register) IT CookBook, 디지털 논리회로 11. 2/31 학습목표  네 가지 기본형 레지스터의 동작을 이해한다.  양방향 시프트 레지스터의 동작을 이해한다.  레지스터의 주요 응용분야를 이해한다.  MSI 시프트 레지스터 IC 의 외부접속.
레지스터 (Register) IT CookBook, 디지털 논리회로 학습목표 및 목차 네 가지 기본형 레지스터의 동작을 이해한다. 양방향 시프트 레지스터의 동작을 이해한다. 레지스터의 주요 응용분야를 이해한다. MSI 시프트 레지스터 IC 의 외부접속.
10 카운터 (Counter) IT CookBook, 디지털 논리회로.
컴퓨터와 인터넷.
9 동기순서논리회로 IT CookBook, 디지털 논리회로.
VHDL 프로그램은 비동기 Reset을 갖는 D 플립플롭을 구현한 것이다
ㅎㅎ 구조체 구조체 사용하기 함수 매개변수로서의 구조체 구조체 포인터와 레퍼런스 구조체 배열.
제2장 주파수 영역에서의 모델링.
                                  8장 A/D 변환기 A/D Converter? A/D Converter 원리 Bit 수와 최대범위 및 해상도와의 관계.
디지털 부속품 (Digital Components)
5장. 순차 논리 회로 Lecture #5.
래치(latch) S R Q Q 1 기본적인 플립플롭(basic flip flop)으로 1비트의 정보를 저장할 수 있는 소자
순차로직 개요.
조합 논리회로 설계 및 검증 Sun, Hye-Seung.
제4장 조합논리회로 내용 4.1 조합논리회로 설계 과정 4.2 산술회로 : 가산기(adder)/ 감산기(subtractor)
컴퓨터 프로그래밍 기초 [Final] 기말고사
오브젝트 플립플롭 IT CookBook, VHDL을 이용한 디지털 회로 입문.
19장. 원격 조정 로봇 설계 김용애 1조 김정은 이동한 이재흔.
윤성우의 열혈 C 프로그래밍 윤성우 저 열혈강의 C 프로그래밍 개정판 Chapter 12. 포인터의 이해.
VHDL Design : Barrel Shifter
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
RS 및 D 플립플롭 RS Flip Flop 래치는 어떤 입력 레벨에 의해서 제어되는 데 플립플롭은 클록 입력이라고
JK 및 T 플립플롭 JK Flip-Flop JK 플립플롭은 디지털 시스템에서 가장 많이 사용되고 있는 플립플롭으로
상태 머신 설계 (State Machine Design)
SqlParameter 클래스 선문 비트 18기 발표자 : 박성한.
DK-128 ADC 실습 아이티즌 기술연구소
DK-128 실습 EEPROM 제어 아이티즌 기술연구소
Flip-Flop 설계.
PSW : PROGRAM STATUS WORD
Stop Watch <결과 보고서>
디지털논리실습.
2장 논리 회로와 활용 2장 논리회로와 활용.
학습 목표 비동기식, 동기식 카운터의 설계 과정 및 동작을 이해한다. 링 카운터와 존슨 카운터의 동작을 이해한다.
Microprocessor I/O Port & CLCD Noh Jin-Seok.
속성과 리스너 초기화 파라미터 외 파라미터에 대해 이해한다. 리스너를 생성해보고 사용에 대해 이해한다.
C#.
누산기를 이용한 직렬(Serial) 덧셈기
VHDL Mealy and Moore model
디지털회로설계 (15주차) 17. 시프트 레지스터와 카운터 18. 멀티바이브레이터 * RAM & ROM.
디 지 털 공 학 한국폴리텍V대학.
8장 대형 순차회로 문제의 해법 시프트 레지스터 카운터 ASM 도를 이용한 설계 One Hot encoding 복잡한 예제.
인터넷응용프로그래밍 JavaScript(Intro).
6장 순차회로 시스템 상태표와 상태도 래치와 플립플롭 순차 시스템의 해석.
자료구조: CHAP 7 트리 –review 순천향대학교 컴퓨터공학과 하 상 호.
HTTP 프로토콜의 요청과 응답 동작을 이해한다. 서블릿 및 JSP 를 알아보고 역할을 이해한다.
플립플롭, 카운터, 레지스터 순서회로 플립플롭 카운터 레지스터.
오브젝트 순서회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
동기식 카운터 설계.
9. 카운터 9-1 비동기 카운터 9-2 동기 카운터 9-3 업/다운 동기 카운터 9-4 동기카운터 설계
논리회로 설계 및 실험 5주차.
6 레지스터와 카운터.
DK-128 실습 내부 EEPROM 제어 아이티즌 기술연구소 김태성 연구원
볼링게임 시스템 3조 오지연, 손수경.
6. 레지스터와 카운터.
제4강 처리장치 1.
13장 CTC and DMA Slide 1 (of 10).
Chapter 03 순서 논리회로.
4장. 데이터 표현 방식의 이해. 4장. 데이터 표현 방식의 이해 4-1 컴퓨터의 데이터 표현 진법에 대한 이해 n 진수 표현 방식 : n개의 문자를 이용해서 데이터를 표현 그림 4-1.
DK-128 직렬통신 실습 아이티즌 기술연구소
AT MEGA 128 기초와 응용 I 기본적인 구조.
컴퓨터구조 (chap2 그림모음).
제11강 PC정비사 1급(필기) Lee Hoon Copyright(c) 2008 LeeHoon All rights reserved.
3. 모듈 (5장. 모듈).
논리회로 설계 및 실험 4주차.
시리얼 UART 정리 정보통신•컴퓨터 공학부 송명규
Chapter 10 데이터 검색1.
TVM ver 최종보고서
버스와 메모리 전송 버스 시스템 레지스터와 레지스터들 사이의 정보 전송을 위한 경로
Presentation transcript:

쉬프트 레지스터 용어 Shift Register: N-bit 데이터를 직렬 혹은 병렬로 N-bit 레지스터에 이동 저장하는 동기식 순차회로. Left Shift: 쉬프트 레지스터에서의 데이터의 이동이 오른쪽에서 왼쪽으로 (MSB방향으로) 이동하는 동작으로 한 클록 펄스마다 한 비트씩 이동한다. Right Shift: 쉬프트 레지스터에서의 데이터의 이동이 왼쪽에서 오른쪽으로 (LSB방향으로) 이동하는 동작으로 한 클록 펄스마다 한 비트씩 이동한다. Rotation: 마지막 플립플립의 출력이 처음 플립플롭의 입력과 연결되어 직렬 쉬프트(오른쪽 또는 왼쪽)하는 동작으로, 데이터가 계속적으로 순환한다. Fig. 9.57

양방향 쉬프트 레지스터

병렬 로드를 가진 쉬프트 레지스터

Bi-SR shift_left shift_right PL-SR

유니버셜 쉬프트 레지스터 01 11 10 00

유니버셜 쉬프트 레지스터의 시뮬레이션 sht_right sht_left par_load

쉬프트 레지스터 VHDL Structured VHDL Design LSR, RSR과 같은 상이한 형태를 구성하기 위해 DFF primitive들을 사용한다. DFF Primitive Port Map은 D, CLK, Q이다. DataFlow Design 입력과 출력사이의 관계를 정의하기 위해 부울식을 사용하는 VHDL 설계 접근방법. Entity는 알테라 라이브러리가 필요 없는 것을 제외하고는 구조적 접근방법과 동일하다. 레지스터 Qi 는 여전히 ‘Buffer’로 선언된다. Behavioral design 설계를 행위적으로 기술하는 VHDL 설계 기법.

Structured VHDL I (BUFFER 사용)

Structured VHDL II (signal 사용)

Dataflow VHDL concurrent

Behavioral VHDL

Ex. 9.15 Bidir. SRG VHDL

Ex. 9.15 Bidir. SRG Simulation

Generic Width Shift Register VHDL 컴포넌트의 엔티티 선언에서의 Generic 절(clause) 은 컴포넌트가 사례화될 때 규정될 수 있는 파라미터들을 열거한다. 변수 ‘Width’를 규정하기 위해, 엔티티내의 Generic 절을 사용하라. 일반적인 형식은 GENERIC(Clause := Value). 4-Bit 쉬프트 레지스터에 대해서는, GENERIC(Width: Positive := 4).

GENERIC절을 사용한 4-bit Shit Right 레지스터

GENERIC절을 사용한 8-bit Shit Right 레지스터 (4-bit SRG를 사례화)

8-bit Universal SRG CONV_STD_LOGIC_VECTOR(value, number_of_bits) 다른 표현으로는 q <= (others => ‘0’); -- q = 00000000; -- aggregate q <= (‘0’, ‘1’ others => ‘0’); -- q = 01000000

16-bit Universal SRG (8-bit universal SRG를 사례화)

LPM 쉬프트 레지스터 lpm_shiftreg라고 불리는 프로그램이 가능한 LPM 쉬프트 레지스터의 사용을 허용한다. LPM_WIDTH, LPM_DIRECTION과 같은 여러 가지 옵션 파라미터들을 가지고 있다. (표 9.16 참조) 설계 접근방법은 구조적 VHDL 방법으로 설계한 카운터와 유사하다.

8-bit LPM SRG(Shift left)

8-bit LPM 쉬프트 레지스터의 시뮬레이션(Shift left) Buried nodes

8-bit LPM SRG(Shift right)

8-bit LPM 쉬프트 레지스터의 시뮬레이션(Shift Right)

8-bit LPM SRG(shift left, pl, aclr) q_out(7)과 serial_out은 동일

8-bit LPM SRG Simulation(shift left, pl, aclr) AA

쉬프트 레지스터 카운터(Ring Counters) 기본적인 링 카운터는 피드백 루프를 가진 D-FF으로 구성된다. 리셋이나 프리셋을 사용하여 초기 데이터가 쉬프트 레지스터로 로드된다. 카운터는 “1000” 또는 “0111” 의 로딩 값에 따라서 1 또는 0이 순환한다. 링 카운터의 모듈러스는 고유상태의 최대 값으로 정의된다. 모듈러스는 초기 로드 값에 의존한다: {1000, 0100, 0010, 0001} = Mod4, {1010, 0101} = Mod2. 일반적으로 N개 플립플롭으로 구성되는 링 카운터는 N-상태를 가진다.(이진 카운터의 2N 과는 다르다.) 디코딩 회로가 필요 없다(장점).

쉬프트 레지스터 카운터(Johnson Counters) Q0가 아닌 !Q0(Complement)가 D3에 피드백 되는 점을 제외하고는 링 카운터와 동일하다. 데이터가 보수 혹은 “twist”되므로 “Twisted Ring Counter”라 불린다. 일반적으로 카운터는 클리어 동작에 의해 “0000”으로 초기 화 된다. 일반적으로 링 카운터보다 더 많은 상태를 가진다. 카운터의 진행순서= {0000, 1000, 1100, 1110, 1111, 0111, 0011, 0001}. N-비트 존슨 카운터의 최대 모듈러스는 2N이다. 카운터 디코딩을 위해 단지 2-input AND(or NAND) 게이트가 요구된다. (표 9.18 & 9.19).

4-bit Johnson counter with a synchronous clear

8-bit Johnson counter with a synchronous clear

8-bit 존슨 카운터의 시뮬레이션

Summary Counter는 behavioral description이나 LPM 에서 컴포넌트를 사용하는 Structural description으로 설계될 수 있다. Behavioral counter design은 process 문을 사용한다. 프로세서 문 내에 if문은 클럭 이벤트나 동기/비동기 입력들을 감지한다. (clk’EVENT and clk =‘1’) Variable는 := 연산자로 할당한다. 비동기 입력은 process의 sensitivity list내에 포함되고 클럭보다 먼저 평가된다. 동기입력은 process의 sensitivity list내에 포함되지 않고 클럭보다 나중에 평가된다. VHDL component는 컴포넌트가 사례화 될 때 규정되는 parameter를 가지고 생성될 수 있다. 이들 parameter들은 component entity 선언에서의 GENERIC 절에서 열거된다.