디지털 시계 설계 20432233 장성락 20432314 전영진 20432165 임종엽 20530449 전보현 20530410 이형준.

Slides:



Advertisements
Similar presentations
내 마음의 버 스 이천신하교회 청년부. 이름 : 한상훈 나이 : 30 살 종교 : 기독교 ( 모태신앙 ) 생활신조 : 인생은 한방 ! 로또나 사자 이상형 : 청순 가련한 모태미녀 특이사항 : 걸그룹 노래에 환장함 식스팩을 갖기엔 슬픈 몸을 타고 남.
Advertisements

독서골든벨 2009 학년도 6 학년 1 학기 6-10 반. 1. 이야기 삼국유사 정대한 원효대사는 수행을 위해 떠나던 중 피곤하여 숲 속에서 잠이 들었다. 잠결에 너무 목이 마른 나머지 어디에 담겨있는 물을 맛있게 마셨나요 ?
중등특수교육과 엄승현 이영재 이지수 속요에 대하여.
두 손 들고 두 손 들고 찬양합니다 두 손 들고 찬양합니다 다시 오실 왕 여호와께 다시 오실 왕 여호와께 두 손 들고 찬양합니다 두 손 들고 찬양합니다 다시 오실 왕 여호와께 다시 오실 왕 여호와께 오직 주만이 나를 다스리네 오직 주만이 나를 다스리네 나 주님만을.
지금은 기도 하는 시간입니다 1. 송구영신예배를 위해서 2. ‘크리스마스 이브’ 행사를 준비하는 교육 기관을 위하여
단체교섭 보고 ※ 본교섭 ※ 실무교섭 구 분 날 짜 비 고 상견례 1월19일 단협 시작 본교섭

이스트베이장로교회 말씀과 기도로 새로워지는 교회.
청년창업자금지원안내 2012년 중소기업진흥공단.
공교육 정상화 및 선행학습 금지 학부모 연수 부천송일초등학교.
쯔쯔가무시 예방수칙을 실천하세요! 한국산업안전보건공단 광주지역본부.
교재:C언어로 쉽게 풀어 쓴 자료구조 (생능출판사, 천인국저)
1 08 Simple Sequential Logic Design with Finite State Machines & Timing Design.
VHDL Package & Subprogram
Copyright SangSangDom, All Rights Reserved.
VHDL 프로그램은 비동기 Reset을 갖는 D 플립플롭을 구현한 것이다
Dept. of Electronics & Info. Eng. Prof. Jongbok Lee
ASIC의 개요 ASIC(Application Specific Integrated Circuit) 특정 용도 주문형 집적회로
디지털 시계 설계.
아하! 청소년의 성교육은 이렇게!.
현대사회의 여성문제와 여성복지 3조 권경욱 강향원 황대인 변갑수 박창욱 김지현.
Design of Digital Clock (디지털 시계의 설계)
고교평준화의 득과 실 김영주 이지영 최윤영.
제 7 장 문장 구조화 제어문 지정문 조건문 반복문 GOTO 문 비결정적문.
순차로직 개요.
1. VHDL과 합성 설계 1.1 HDL: Hardware Description Language 집적회로의 설계환경의 변화
가산기 설계.
Multiplexer 설계.
VHDL의 기본 Lecture #5.
VHDL Description D-latch C=1 일 때 Q 는 D의 입력 값 이 전달된다.
신호등 제어기 차량의 흐름에 따라 신호등의 신호를 제어하는 장치 신호등 제어기의 입출력 신호
19장. 원격 조정 로봇 설계 김용애 1조 김정은 이동한 이재흔.
VHDL Package and Sub program
Stop Watch 구현 Lecture #12.
Chap. 18 라인 트레이서의 설계 라인 트레이서 바닥에 그려진 선을 따라가는 로봇을 의미 라인 트레이서 구성도
Watch VHDL을 이용한 다용도 시계 다른 사람의 지적 재산권을 침해 하지 않았다..
II. VHDL 설계부 4장. VHDL 개요 5장. VHDL 설계 구성 6장. VHDL 객체 및 타입 7장. VHDL 모델링
1. 화면 및 메뉴소개 ▣ 온라인사업지원시스템 소개 ▶ 온라인사업지원시스템이란
Ch2-2. VHDL Basic VHDL lexical element VHDL description
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
VHDL의 기본 Lecture #4.
Data type and Object 자료형 변환 함수들은 std_logic_arith 패키지에 정의되어 있음.
FSM 설계.
Flip-Flop 설계.
2010년 직원연수 자료 제1차 : 4월 16일 ~ 17일 제2차 : 4월 23일 ~ 24일
Section 02 덧셈 회로 BCD 덧셈 회로 BCD 코드에서 십진수 (16)BCD =
오브젝트 하드웨어 기술 언어 IT CookBook, VHDL을 이용한 디지털 회로 입문.
Programmable Logic Device
VHDL Mealy and Moore model
1. 화면 및 메뉴소개 ▣ 온라인사업지원시스템 소개 ▶ 온라인사업지원시스템이란
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
고구려,백제,신라의 건국과 발전 Start!
VHDL.
VHDL 디지털시계 2.
가산기 설계.
1 [100인의 멘토] 학교로 찾아가는 진로교육 □ 목적 인천지역 자유학기제 대상 청소년에게 건설관련 전문분야에 대한 진로탐색을 통해 체계적인 진로교육을 실시 □ 개요 ○ 참가대상: 18개 학교(학교당 1학급 기준) *협의가능 ○ 활동장소 : 각 선정 학교.
디 지 털 공 학 한국폴리텍V대학.
속요 국어국문학과 김보민 국어국문학과 조나현 제목 창의적으로 바꿔야 함.
사회복지사무소 시범사업 안내 보 건 복 지 부
체크포인트 가정 내 일어나는 사고에 대해 알아보고 사고예방을 위해 주의한다. | 예방법 장소별 사고 – 방과 거실 1 2 높은 곳 에 물건 두지 않기! 날카로운 모서리는 천으로 씌우기!
LCD.
공학설계입문 블로그 제작 목차 전기공학과 정지용.
주간 콜센터 운영현황 보고 주간 인입콜 동향 주간 주요 Focus 고객민원 (콜+게시판) 요청사항 금주 진행 사항 차주 계획
홍미영 부평구 재정 및 운영방향 인천광역시 부평구.
실습 9 / 부울식 구현(결합 법칙을 이용한 논리회로 구현)
Report #4 (1) (due 4/4) 문제 #1 3개의 막대 A, B, C와 원판 n개를 전달받아 Hanoi 탑 문제를 해결하는데 필요한 원판의 이동 회수를 구하여 반환하는 hanoi_tower(n, A, B, C)를 작성하라. 여기서 원판 n은 막대 A에 쌓여 있고.
시민이 체감하는 편리한 건축인허가 절차 개선 추진.
시스템 분석 및 설계 2007학년도 2학기 멀티미디어공학과 2학년 대상 담당교수 박태희
나-는 믿음으로 주 얼굴 보리니- 아침에 깰 때에 주형상에 만족하리 나주님 닮기 원하네 믿음으로 주얼굴 보리라 -
VHDL 응용 Lecture #10.
Presentation transcript:

디지털 시계 설계 20432233 장성락 20432314 전영진 20432165 임종엽 20530449 전보현 20530410 이형준

목 차 1) 과제 목적 2) 추진일정 3) 역할분담 4) 디지털 시계 구성요소 5) 소스 구성 6) 시뮬레이션 7) Q&A 9조

1. 과제 목적 디지털 시계 설계를 위한 알고리즘 구현 VHDL을 이용하여 디지털 시계 논리회로를 구성 기본에 충실하며 보다 독창적인 디지털시계 설계 9조

2. 추진 일정 구 분 1주 2주 3주 4주 자료수집 최종 결과 작성 시뮬레이션 소스구현 아이디어 회의 및 설계 계획 9조

3. 역할 분담 순번 학과 학년 학번 이름 역할분담 1 전자공학부 3 20432233 장성락 제안서 작성 및 stop-watch 설계 2 20432314 전영진 시간 카운터 설계 및 시스템 스뮬레이션 20432165 임종엽 자료수집 및 날짜,요일 설계 4 20530449 전보현 VHDL 시계 모드 변경 설계 5 20530410 이형준 VHDL 알람기능 설계 9조

4. 디지털 시계 구성요소 시계 기능 알람 기능 On / Off 기본 시계 기능 시, 분 단위로 설정 시계 변환 기능 알람 9조

5. 소스 구성 9조 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity watchdec is port(clk,reset,stop,hour_in,min_in,sec_in,ahour_in,amin_in,asec_in,alarm_set : in std_logic; --시계 카운터시 사용 one_min : buffer integer range 0 to 9; one_sec : buffer integer range 0 to 9; one_hour : buffer integer range 0 to 9; ten_hour : buffer integer range 0 to 2; ten_sec : buffer integer range 0 to 5; ten_min : buffer integer range 0 to 5; --알람 카운터시 사용 aone_min : buffer integer range 0 to 9; aone_sec : buffer integer range 0 to 9; aone_hour : buffer integer range 0 to 9; aten_hour : buffer integer range 0 to 2; aten_sec : buffer integer range 0 to 5; aten_min : buffer integer range 0 to 5; bell : out std_logic); end watchdec; 9조

5. 소스 구성 9조 architecture main of watchdec is signal flag : std_logic; signal alarm : std_logic; signal bell : std_logic; begin process(clk,reset,sec_in,min_in,hour_in) if(reset='1') then ten_hour <= 0; one_hour <= 0; ten_min <= 0; one_min <= 0; ten_sec <= 0; one_sec <= 0; aten_hour <= 0; aone_hour <= 0; aten_min <= 0; aone_min <= 0; aten_sec <= 0; aone_sec <= 0; flag <= '0'; 9조

5. 소스 구성 elsif (clk'event and clk='1') then -- 한클럭당 1초 클럭 발생 , 상승엣지 if( stop='1') then ten_hour<=ten_hour; -- Stop 하면 flag가 1이 되고 나머지 one_hour<=one_hour; 나머지 시간은 그상태로 멈춤 ten_min<=ten_min; one_min<=one_min; ten_sec<=ten_sec; one_sec<=one_sec; flag<=not flag; elsif( ten_hour = 2 and one_hour = 3 and ten_min =5 and one_min=9 -- 23시 59분 59초 이면 모든시 and ten_sec=5 and one_sec = 9 and flag = '0') then 간을 0으로 ten_hour <= 0; one_hour <= 0; ten_min <= 0; one_min <= 0; ten_sec <= 0; one_sec <= 0; elsif(one_hour = 9 and ten_min =5 and one_min=9 and -- 9시 59분 59초이면 10시 00분 00초 로 설정 ten_sec=5 and one_sec = 9 and flag = '0') then ten_sec <= 0; one_sec <= 0; ten_hour <= ten_hour +1; 9조

5. 소스구성 elsif(ten_min <= 5 and one_min=9 and ten_sec = 5 and one_sec = 9 and flag = '0') then ten_min <= 0; -- 59분 59초 이면 1시 00분 00초로 설정 one_min <= 0; ten_sec <= 0; one_sec <= 0; one_hour <= one_hour+1; elsif(one_min = 9 and ten_sec=5 and one_sec=9 and flag = '0') then one_min <= 0; -- 9분 59초 이면 10분 00초로 설정 ten_sec <= 0; one_sec <= 0; ten_min <= ten_min+1; elsif(ten_sec = 5 and one_sec = 9 and flag = '0') then ten_sec <= 0; -- 59초 이면 1분으로 설정 one_min <= one_min+1; elsif(one_sec = 9 and flag = '0') then one_sec <= 0; -- 9초이면 10초로 설정 ten_sec <= ten_sec+1; elsif(flag = '0') then -- 1초는 flag가 0이면 계속 카운트 one_sec <= one_sec+1; 9조

5. 소스 구성 9조 elsif( sec_in='1' and flag='1') then -- flag가 1이면 초 설정 if( ten_sec=5 and one_sec=9) then ten_sec <= 0; one_sec <= 0; elsif( one_sec=9) then ten_sec <= ten_sec+1; else one_sec <= one_sec+1; end if; elsif( min_in='1' and flag='1') then -- flag가 1이면 분 설정 if( ten_min=5 and one_min=9) then ten_min <= 0; one_min <= 0; elsif( one_min=9) then ten_min <= ten_min+1; one_min <= one_min+1; 9조

5. 소스 구성 9조 elsif( hour_in='1' and flag='1') then -- flag가 1이면 시 설정 if( ten_hour=2 and one_hour=3) then ten_hour <= 0; one_hour <= 0; elsif( one_hour=9) then ten_hour <= ten_hour+1; else one_hour <= one_hour+1; end if; end process; 9조

5. 소스 구성 process(clk,reset,sec_in,min_in,hour_in,asec_in,amin_in,ahour_in) begin if(reset='1') then -- reset이 1이면 alarm, bell 초기화 alarm<= '0'; bell<='0'; elsif (clk'event and clk='1') then -- 클럭 발생 if( alarm_set ='1' ) then -- alarm_set에 1이 들어오면 알람 시간 설정 가능 aten_hour<=aten_hour; 1번누르면 alarm = 1 aone_hour<=aone_hour; 2번누르면 alarm = 0 aten_min<=aten_min; aone_min<=aone_min; aten_sec<=aten_sec; aone_sec<=aone_sec; alarm<=not alarm; if(alarm='0') then end if; elsif( asec_in='1' and alarm='1') then -- 초설정 if( aten_sec=5 and aone_sec=9) then aten_sec <= 0; aone_sec <= 0; 9조

5. 소스 구성 9조 elsif( aone_sec=9) then aone_sec <= 0; aten_sec <= aten_sec+1; else aone_sec <= aone_sec+1; end if; elsif( amin_in='1' and alarm='1') then -- 분설정 if( aten_min=5 and aone_min=9) then aten_min <= 0; aone_min <= 0; elsif( aone_min=9) then aone_min <= 0; aten_min <= aten_min+1; aone_min <= aone_min+1; elsif( ahour_in='1' and alarm='1') then -- 시설정 if( aten_hour=2 and aone_hour=3) then aten_hour <= 0; aone_hour <= 0; elsif( aone_hour = 9) then aone_hour <= 0; aten_hour <= aten_hour+1; aone_hour <= aone_hour+1; 9조

5. 소스 구성 9조 elsif(alarm ='1' and aten_hour=ten_hour and aone_hour=one_hour and aten_min=ten_min and aone_min=one_min and aten_sec=ten_sec and aone_sec=one_sec)then -- 알람 식간과 현재시간이 같으면 벨 울림 bell <='1'; end if; end process; end main; 9조

6. 시뮬레이션 초 카운트 분 카운트 벨 울림 알람설정시 계속 시간은 흐른다 9조

6. 시뮬레이션 Stop Start 리셋 시간이 흐른다 9조

7. Q&A ? 9조