8장. 연산 장치 Lecture #8.

Slides:



Advertisements
Similar presentations
10-7 부동소수점 (Floating-Point) 계산  컴퓨터에서 숫자를 표기하는 방법  가수 (Fraction) : 부호화된 고정소수점 숫자 지수 (Exponent) : 소수점의 위치를 표시 ( 예 )10 진수 를 표기하면 Fraction Exponent.
Advertisements

Chapter 04 컴퓨터에서 데이터 표현. 04 컴퓨터에서 데이터 표현 2 인코딩 (encoding) – 현실세계의 정보를 컴퓨터 내부에서 처리할 수 있는 이진수로 변환하는 방법 1. 컴퓨터 속에서 데이터 표현 원리 0 - 아빠 1 - 엄마 00 - 아빠 01 - 엄마.
13 강 논리회로 2 과목 전자계산기 구조 강사 이 민 욱. 13 강 논리회로  논리회로 1. 부울 대수 (Boolean Algebra) 에서 사용하는 기본 연산자 ① 논리부정 : NOT ( ` ) 논리부정은 F = NOT A 의 표현을 F =A` 로 표현 ② 논리곱.
6 장. printf 와 scanf 함수에 대한 고찰 printf 함수 이야기 printf 는 문자열을 출력하는 함수이다. – 예제 printf1.c 참조 printf 는 특수 문자 출력이 가능하다. 특수 문자의 미 \a 경고음 소리 발생 \b 백스페이스 (backspace)
레지스터 (Register) IT CookBook, 디지털 논리회로 11. 2/31 학습목표  네 가지 기본형 레지스터의 동작을 이해한다.  양방향 시프트 레지스터의 동작을 이해한다.  레지스터의 주요 응용분야를 이해한다.  MSI 시프트 레지스터 IC 의 외부접속.
레지스터 (Register) IT CookBook, 디지털 논리회로 학습목표 및 목차 네 가지 기본형 레지스터의 동작을 이해한다. 양방향 시프트 레지스터의 동작을 이해한다. 레지스터의 주요 응용분야를 이해한다. MSI 시프트 레지스터 IC 의 외부접속.
컴퓨터와 인터넷.
재료수치해석 HW # 박재혁.
예비보고서1 : 8개의 푸시버튼 스위치가 있다. 이 스위치에 각각 0~7개까지의 번호를 부여하였다고 하자
디 지 털 공 학 한국폴리텍V대학.
제4장 조합논리회로 내용 4.1 조합논리회로 설계 과정 4.2 산술회로 : 가산기(adder)/ 감산기(subtractor)
디지털논리실습 기본 논리 게이트 부울대수 조합회로.
Multiplexer 설계.
VHDL Design : Barrel Shifter
제 3장 컴퓨터 시스템의 구조.
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
9장. 제어장치 Lecture #9.
Lecture #4 제3장. 컴퓨터 산술과 논리 연산.
제10강 중앙처리장치 1.
2장. 데이터의 표현 Lecture #2.
SqlParameter 클래스 선문 비트 18기 발표자 : 박성한.
컴퓨터 프로그래밍 기초 #02 : printf(), scanf()
Error Detection and Correction
Computer Architecture 3장
Part 05 순수한 연산자 ©우균, 창병모 © 우균, 창병모.
6장. printf와 scanf 함수에 대한 고찰
PSW : PROGRAM STATUS WORD
3장. 데이터의 표현과 컴퓨터 연산 다루는 내용 진법과 진법 변환 연산과 보수 데이터의 표현 산술 연산 논리 연산.
누산기를 이용한 직렬(Serial) 덧셈기
프로그래밍 개요
디지털회로설계 (15주차) 17. 시프트 레지스터와 카운터 18. 멀티바이브레이터 * RAM & ROM.
디 지 털 공 학 한국폴리텍V대학.
6장 연산 장치 6.1 개요 6.2 연산장치의 구성요소 6.3 처리기 6.4 기타 연산장치.
Chapter 07 프로세서와 연산장치.
Computer System Architecture
플립플롭, 카운터, 레지스터 순서회로 플립플롭 카운터 레지스터.
연산자 (Operator).
논리회로 설계 및 실험 5주차.
6 레지스터와 카운터.
DK-128 실습 내부 EEPROM 제어 아이티즌 기술연구소 김태성 연구원
볼링게임 시스템 3조 오지연, 손수경.
안산1대학 제 2 장 디지털 논리회로.
6. 레지스터와 카운터.
제4강 처리장치 1.
1. 2진 시스템.
Choi Seong Yun 컴퓨터 프로그래밍 기초 #03 : 변수와 자료형 Choi Seong Yun
정보의 표현 정보 체계_컴퓨터 내부의 정보 표현과 정보 처리
10장. 실현기술 10.1 유한 어장 영향 10.2 디지털 필터의 기본 하드웨어 10.3 하드웨어에 의한 디지털필터 실현
계산기.
제5강 처리 장치 2.
회로해석 및 논리회로실험 (정승기 교수님, 김신아 조교님)
RAM RAM 읽기 동작(read) RAM 쓰기 동작(write) 1. 주소선을 통해 주소값 입력.
4장. 데이터 표현 방식의 이해. 4장. 데이터 표현 방식의 이해 4-1 컴퓨터의 데이터 표현 진법에 대한 이해 n 진수 표현 방식 : n개의 문자를 이용해서 데이터를 표현 그림 4-1.
AT MEGA 128 기초와 응용 I 기본적인 구조.
컴퓨터구조 (chap2 그림모음).
3. 반/전 가산기, 반/전 감산기 제작 컴퓨터 구조 실습 안내서.
ARM Development Suite v1.2
제11강 PC정비사 1급(필기) Lee Hoon Copyright(c) 2008 LeeHoon All rights reserved.
논리회로 설계 및 실험 4주차.
TVM ver 최종보고서
제10강 PC정비사 1급(필기) Lee Hoon Copyright(c) 2008 LeeHoon All rights reserved.
실습과제 (변수와 자료형, ) 1. 다음 작업 (가), (나), (다)를 수행하는 프로그램 작성
제 4 장 Record.
수치해석 ch3 환경공학과 김지숙.
Computer System Architecture
컴퓨터는 어떻게 덧셈, 뺄셈을 할까? 2011년 10월 5일 정동욱.
버스와 메모리 전송 버스 시스템 레지스터와 레지스터들 사이의 정보 전송을 위한 경로
ARM Development Suite v1.2
디 코 더 n비트의 2진 코드를 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로
3장. 데이터의 표현과 컴퓨터 연산 다루는 내용 진법과 진법 변환 연산과 보수 데이터의 표현 산술 연산 논리 연산.
Presentation transcript:

8장. 연산 장치 Lecture #8

8.1 개 요 처리기(제어 장치,레지스터)와 연산 장치로 구성. 연산장치(ALU: Arithmetic Logic Unit) 산술, 논리, 비트, 수의 대소 판단 등의 연산을 처리 연산장치는 독립적으로 데이터 처리를 수행하지 못하며 반드시 레지스터들과 조합하여 데이터를 처리해야 한다. 중앙 처리 장치의 구조 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (1) ALU 의 구성 요소 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (2) ALU 요소들의 기능 산술연산장치 : 산술 연산인 +, -, ×, ÷을 수행하는 연산 회로. 논리 연산 장치 : 논리 연산인 AND, OR, XOR, NOT을 수행하는 연산 회로. 시프트 레지스터 : 비트들을 좌측 혹은 우측으로 이동시키는 기능을 가진 레지스터. 보수기(complement) : 2진 데이터를 보수를 취하는 회로. 상태 레지스터 : 연산 결과의 상태를 나타내는 플래그들을 저장하는 레지스터. 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (3) 산술 연산 장치 내부는 기본적으로 전 가산기(full adder)로 구성 산술 연산 장치 구성 전 가산기 회로를 이용한 병렬 가산기로 구성됨, Si = Ai + Bi + Ci 입력 : A1 ,A2 ,A3 ,A4와 B1 ,B2 ,B3 ,B4 출력 : S1 ,S2 ,S3 ,S4 Ci : 캐리 입력하기 위한 비트 상태 비트 : 오버플로우 비트(overflow bit), 제로 비트(zero bit), 부호 비트(sign bit), 캐리 비트(carry bit) 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (4) 4비트 병렬 가산기 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (5) 전가산기를 이용한 가,감산기 Xi=Ai Yi=BiS Ci=S S Ai Bi Xi Yi 1 Xi=Ai Yi=BiS Ci=S 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (6) [ 감산을 위한 보수기를 포함한 회로 ] [ 가감산기 내부 구조 ] 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (7) 병렬 가산기에 의한 산술 연산 가산 가산 + Carry 캐리 비트를 Cin을 0으로 하고 A와 B를 가산하여 합이 F로 구해진다. 가산 + Carry Cin을 1로 하여 F=A+B+1 을 구한다. 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (8) A+B’의 보수 감산 감산의 경우에는 B에 1의 보수를 입력해서 F=A+B’를 연산한다. Cin을 1로 하면 F=A+ B’+1로 되어 A와 B의 2의 보수를 가산. 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (9) A 증가 A 전송 F=A+1이 되어 1 증가 연산이 된다. B의 입력을 모두 0 을 입력하면 F=A+0 이 되어 F=A가 된다. 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (10) A 전송 Cin을 1로 하였기 때문에 F = A -1 + 1이 되어 F = A가 된다. A 감소 B의 입력이 모두 1이면 F=A+11111111가 되어 F=A-1연산한다. 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (11) 8-비트 연산장치 블럭도와 가산기 구성도 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (12) 상태 레지스터(Status register or Flag register) 연산 결과에 의해 상태 레지스터를 세트. C(Carry bit) : 두 수를 가산하여 자리 올림수가 발생하면 1로 세트되고 아니면 0이 된다. 산술 연산 장치의 최상위 비트의 전 가산기 carry out 신호를 받아서 세트되고 감산에서 자리 내림이 발생하였을 때에도 1로 세트됨. S(Sign bit) : 최상위 비트가 0이면 양수를 나타내고, 1이면 음수를 나타내는 비트. Z(Zero bit) : 두 수를 연산한 후 결과 값이 0이면 1로 세트. V(Overflow bit) : 산술 연산에 두 수를 가산할 때 결과를 저장할 수 있는 레지스터의 자리수가 모자랄 때 발생하는 에러(error)로서 오버플로우가 발생하면 오버플로우 비트가 1로 세트된다. 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (13) 상태 레지스터 구조 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (14) 오버플로우 발생 회로 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (15) 캐리 예측 발생기 병렬 가산을 보다 빨리 수행하기 위해서는 하위 전가산기로부터의 캐리를 미리 예측하여 계산할 필요가 있다. 각 단의 캐리들은 전단의 가산기들이 연산이 끝난 후에 캐리가 발생하고 발생된 캐리에 의해 다음 단의 연산이 수행. 전파 시간(propagation time) : 캐리들이 전파되는 데 필요한 시간. 캐리 예측 발생기(look-ahead carry generator) : 전파 시간을 줄이기 위한 회로. 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (16) 캐리 예측 발생기 논리 회로 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (17) 논리 연산 장치 OR, AND, XOR, NOT의 논리 연산을 수행하는 장치 연산 장치 제어 신호 S2, S1, S0, Cin에서 S2가 1일 때 논리 연산 처리가 된다. 논리 연산 장치의 예 : 논리 연산 제어 신호 : S1 S0 논리 연산 출력 0 0 OR Fi = Ai + Bi 0 1 XOR Fi = Ai  Bi 1 0 AND Fi = Ai  Bi 1 1 NOT Fi = Ai 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (18) 산술 연산 장치와 논리 연산 장치 조합 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (19) 시프터 레지스터 입력 데이터의 모든 비트들을 각각 서로 이웃한 비트로 자리를 옮겨가는 연산. 연산기 출력단에 시프트 레지스터를 연결하여 수행. [ 시프트 레지스터 구조 ] 컴퓨터 구조론

8.2 연산 장치의 구성 요소 (20) 시프터 레지스터 시프트 레지스터 제어 신호 H1 H0 Operation Function 0 0 S  F Transfer F to S 0 1 S  shr F Shift-right F into S 1 0 Shift-left into S 1 1 S  0 Transfer 0’s into S 컴퓨터 구조론

8.3 처리기 (1) 8비트 연산처리기 설계시 필요한 장치 8비트 레지스터 7개. 3 × 8 디코더 1개 : 레지스터를 선택의 로드 제어 신호를 공급 3 × 8 멀티플렉서 2개 : 연산 장치의 입력 채널에서 연산 데이터를 선택 8비트 병렬 연산 장치 1개, 8비트 시프트 레지스터 1개. D 플립플롭 4개 : 상태 레지스터를 구성 컴퓨터 구조론

8.3 처리기 (2) A : ALU 좌측 MUX 입력 선택 제어 신호(3비트) B : ALU 우측 MUX 입력 선택 제어 신호(3비트) D : 레지스터 로드 제어 신호(3비트) F : 연산 장치 기능 제어 신호(3비트) Cin : 캐리 입력신호(1비트) H : 시프트 레지스터 기능 제어 신호(2비트) 컴퓨터 구조론

8.4 기타 연산 장치 (1) 승산 소프트웨어적 승산 하드웨어적 승산 피승수를 승수의 횟수만큼 가산하는 방법 각 자리의 부분적(partial product)과 Shift를 이용한 가산하는 방법 하드웨어적 승산 ALU와 레지스터간의 관계 컴퓨터 구조론

8.4 기타 연산 장치 (2) 승산 Booth 알고리즘 승수의 값이 0인 자리에 대해서는 시프트만 해주고 1인 자리 2k에서 1이 계속되어 0으로 바뀌기 전까지의 자릿수 2m을 감산하는 알고리즘. 부호가 있는 2진수 승산에 많이 사용. 컴퓨터 구조론 [ 2의 보수 승산에 대한 Booth 알고리즘 ]

8.4 기타 연산 장치 (3) 배열 승산기(하드웨어 처리) 고속 소수점 승산에 사용. 승산 비트들을 조합 회로로 설계하여 하나의 마이크로 연산으로 곱셈이 실행될 수 있도록 설계 배열 승산기의 비트 연산 회로 구조 컴퓨터 구조론

8.4 기타 연산 장치 (4) 제산 감산을 기본으로 함 제산회로 및 제산 과정 컴퓨터 구조론

8.4 기타 연산 장치 (5) 제산 제산과정 컴퓨터 구조론

8.4 기타 연산 장치 (6) 비수치 연산 비트나 바이트 단위 처리. 비수치 연산 (논리 연산) 단항 move complement shift rotate clear increment decrement 이항 AND XOR Compare 수치연산 수치 (산술 정수 표현 언팩십진법 팩 십진법 고정 소수점 연산 기타형식 코드표현 실수표현 부동소수점 표현 컴퓨터 구조론

8.4 기타 연산 장치 (7) 단항논리 연산 이동(MOVE) 연산. 연산 장치의 입력 데이터를 받아들여 그대로 출력하는 단항 연산 하나의 레지스터에 기억된 데이터를 다른 레지스터로 옮길 때 이용. 컴퓨터 구조론

8.4 기타 연산 장치 (8) 단항논리 연산 보수(COMPLEMENT) 연산 보수 연산은 단항 연산으로 출력이 연산장치를 통하여 입력 데이터에 대하여 1의 보수 형태로 나타나는 연산. 음수의 표현에서 1의 보수, 2의 보수를 구하는데 필요. 컴퓨터 구조론

8.4 기타 연산 장치 (9) 단항논리 연산 시프트(SHIFT) 연산 입력 데이터의 모든 비트들을 각각 서로 이웃한 비트로 자리를 옮겨서 연산 장치의 출력 측에 나타내는 연산. 우측 시프트와 좌측 시프트가 있다. 논리적 시프트는 자리 이동을 의미한다. 컴퓨터 구조론

8.4 기타 연산 장치 (10) 단항논리 연산 로테이트 연산 로테이트 연산은 시프트와 유사한 연산으로 한쪽 끝에서 밀려나가는 비트가 다시 반대편 끝으로 들어오게 되는 것으로 회전을 의미. 데이터의 특정한 비트의 검색이나 비수치적 데이터에서 문자의 위치를 교환하는데 사용. 컴퓨터 구조론

8.4 기타 연산 장치 (11) 이항 논리 연산 논리 곱(AND) 연산 지우고 싶은 특정한 비트나 문자를 삭제하여 0으로 만들 때 사용되는 연산. 마스크(mask) : 어느 비트 혹은 어느 문자를 지울 것인가를 결정하는 내용. 컴퓨터 구조론

8.4 기타 연산 장치 (12) 이항 논리 연산 논리 합(OR) 연산 논리 합 연산은 필요한 비트나 문자를 삽입할 때 사용. 컴퓨터 구조론

8.4 기타 연산 장치 (13) 이항 논리 연산 논리 비교(COMPARE) 연산 2개의 입력이 비교되어서 출력에 나타나는 연산으로 크고(>), 작음(<)과 같음(=)을 판단하여 여러 조건 flag에 결과를 기억시킴. 컴퓨터 구조론

8.4 기타 연산 장치 (14) 이항 논리 연산 배타적 논리 합(XOR) 연산 컴퓨터 구조론