논리회로 설계 및 실험 8주차.

Slides:



Advertisements
Similar presentations
여성의 광장 어린이 집 www. womankids. com
Advertisements

2015학년도 1학기 버디 프로그램 오리엔테이션 (목) 16:00.
Lecture Part IV: Ecclesiology
14. 컴파일러 자동화 도구 스캐너 생성기 파서 생성기 코드 생성의 자동화
14 장 근거리통신망 : 이더넷(Ethernet)
SEABORG 400BD 세척가능한 전동릴 목차 취급설명서
홍콩과기대 방문 결과 보고 학교법인 포항공과대학교.
FXOpen E-Sports Team(약칭 FXO)
민족 운동의 전개 2. 민족 분열 통치와 국내외 항일 민족 운동.
ICT 활용 문단 중심 글쓰기를 통한 쓰기 능력 기르기
녹둔도의 영유권 분쟁에 관한 연구 [A Study on the Territorial Dispute in Nokdundo Area] 조 병현 박사.
Contents 목 차 Ⅰ. 상품개요 Ⅱ. 회사개요 Ⅲ. 주식운용전략 및 프로세스 Ⅳ. 채권운용전략 및 프로세스
중소기업 기술과 경영을 융합하는 컨설팅 지향! 경영혁신 활동을 통한 기업의 가치창조!! 사업영역 연구개발
3. 나라 안에서 전개된 민족 운동 실력 양성 운동의 전개 2.
Korean Society of Medical Physics
"도자, 색에 물들다" 이천도자기축제, 이달 24일부터 개최
고등학교 한국사 조선 유교 사회의 성립과 변화 Ⅲ 2 조선의 신분제와 양반 문화.
우리학교에는 낙타가 있다 X.
9월 개강 총회.
2014 대의원 만남의 날 -고양파주아이쿱생협.
전라북도교육청 인성건강과 학교폭력 관련 개정 법률
은혜의 찬양.
신평양조장 백련주, 하얀연꽃 맑은술.
República Federativa do Brasil
1. 형제의 모습 속에 보이는 하나님 형상 아름다와라 존귀한 주의 자녀 됐으니 사랑하며 섬기리
십자가의 전달자 난 지극히 작은 자 죄인 중의 괴수 무익한 날 부르셔서 1.
십자가 그 사랑 멀리 떠나서 무너진 나의 삶 속에 잊혀진 주 은혜
Pipette의 사용법과 Buffer의 용량 계산법
6. 대기경계층 내의 바람 분포 6.1 바람 분포에 영향을 미치는 요인 ①대규모 수평기압 및 온도 경도 ②지표면 거칠기 특성 ③지구자전 (높이에 따라 풍향 변함) ④지표면의 가열과 냉각 ⑤대기경계층의 두께(바람 시어 결정) ⑥자유대기의 경계층으로 유입 ⑦운동량과 열의 수평이류.
예비보고서1 : 8개의 푸시버튼 스위치가 있다. 이 스위치에 각각 0~7개까지의 번호를 부여하였다고 하자
우리나라 민요 1229 조예은.
레위기 3.번제 번제단 대구교회 중심부 장기희.
교수설계 수업목표: 다양한 교수설계 모형의 특징을 구별할 수 있다. 과제 확인 문제 제시 Landa의 알고휴리스틱 이론
디지털시스템실험 2주차 고려대학교 전기전자전파공학부.
줄탁동시 / C. A. N / 기획력 혁신 / V- OCEAN / CS 혁신
게임웹사이트운영 [11] 모바일 페이지 작성.
ARDUINO (센서활용프로그래밍/ICT융합실무) - 아두이노 활용 > 전자 주사위 제작
디지털 시계 설계.
환경보건역학 2017년 출석수업 자료.
<응용전자회로> Operational Amplifier Fundamentals
자바스크립트 정리.
VHDL Design : Barrel Shifter
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
마리오 게임.
WinCE Device Driver 실습 #2
혈액 ,수혈 실습 계획서 김동윤.
Microprocessor I/O Port & CLCD Noh Jin-Seok.
10장 컴퓨터 기반 데이터 획득 응용 프로그램 LabVIEW 사용법
VHDL Mealy and Moore model
생산요소와 국제무역(2) 새로운 무역이론 7강 무역학과 한복연교수.
디지털회로설계_강의안1 1. NOT, OR, AND 게이트.
논리회로 및 실험 조합논리회로 (1) - Adder
춘향가 중 사랑가.
논리회로 설계 및 실험 5주차.
12주차 냉동 사이클.
한번 죽는 것은 사람에게 정해진 것이요 그 후에는 심판이 있으리니 히브리서 9장 27절 말씀 -아멘-
7주차 FPGA 보드 사용법.
8 캐시기억장치 IT CookBook, 컴퓨터 구조와 원리 2.0.
2010년 동백 제 1 성당 신축 경과 보고 및 계획서 2010년 6월 27일 동백 제 1 성당.
재무관리 가톨릭관동대 경영학과 2017년 가을학기 강의 : 김을진
7주차 실습 FPGA 보드 사용법.
방정식, 수식 수학의 위대한여정2부-세상을 바꾸는힘,방정식_#001.
과제 1 4bit x 4 SRAM이 있다 아래 (1), (2) 두 입력에 대한 출력값 [3:0] Dout을 나타내시오 (1)
Ⅰ-1. 다항식의 연산 교과서 p.12 문제 1 두 다항식 에 대하여 다음을 계산하라. (1) (2) 정답 (1) (2)
Ⅰ 전자기초 Ⅱ 디지털 논리회로 Ⅲ C언어 기초 Ⅳ AVR 마이크로 컨트롤러 Ⅴ 마이크로 컨트롤러 개발환경
시 각 읽 기 * 수학 * 2학년 가 * 7. 시간 알아보기 (01/06)
논리회로 설계 및 실험 4주차.
디지털회로설계_강의안3 4. X-OR, X-NOR 게이트 5. 오픈컬렉터와 3상태 버퍼/인버터.
8주차 7-segment.
Presentation transcript:

논리회로 설계 및 실험 8주차

8주차 목표 목표 7 Segment의 원리와 사용에 대한 이해

7 Segment의 구성 7 Segment b, c 핀 사용 a, b, d, e, g핀 사용 7개의 획으로 문자나 숫자 등을 표현할 수 있는 표시장치 b, c 핀 사용 a, b, d, e, g핀 사용

7 Segment Array 7 Segment Array Seg_a Seg_b Seg_a ~ Seg_g : 각 획을 나타냄 Seg_com1 ~ Seg_com8 : 각 7 Segment의 자리를 나타냄 Seg_a Seg_b com1 com2 com3 com4 com5 com6 com7 com8

7 Segment Array 7 Segment Array Seg_data 는 모두 연결되어 있으며 모든 자리의 Seg_data는 동시에 동작함 com1 com2 com3 com4 com5 com6 com7 com8 com1 com2 com3 com4 com5 com6 com7 com8 Seg_a Seg_b Seg_c Seg_d Seg_e Seg_f Seg_g 1

7 Segment Array 7 Segment Array 각 자리에 서로 다른 데이터를 출력하기 위해서는 아래와 같은 방법을 사용 com1 com2 com3 com4 com5 com6 com7 com8 com1 com2 com3 com4 com5 com6 com7 com8 1 Seg_a Seg_b Seg_c Seg_d Seg_e Seg_f Seg_g 1

7 Segment Array 7 Segment Array 각 자리에 서로 다른 데이터를 출력하기 위해서는 아래와 같은 방법을 사용 com1 com2 com3 com4 com5 com6 com7 com8 com1 com2 com3 com4 com5 com6 com7 com8 1 Seg_a Seg_b Seg_c Seg_d Seg_e Seg_f Seg_g 1

7 Segment Array 7 Segment Array 각 자리에 서로 다른 데이터를 출력하기 위해서는 아래와 같은 방법을 사용 com1 com2 com3 com4 com5 com6 com7 com8 com1 com2 com3 com4 com5 com6 com7 com8 1 Seg_a Seg_b Seg_c Seg_d Seg_e Seg_f Seg_g 1

FPGA 보드 실습 Clock 사용 ① FPGA clock Pin번호 : AB16 clock 사용 시 에러 발생

Clock 사용 FPGA 보드 실습 ① clock을 사용하는 모듈->마우스오른쪽 ->Add Source-> 모듈의 .ucf 파일선택 ② .ucf파일을 열어 NET “[clock포트이름]" CLOCK_DEDICATED_ROUTE = FALSE; 추가

Level to Pulse 설계 7 Segment Array clock의 속도가 빠르기 때문에 버튼 입력이 있을 경우 한 번만 동작하도록 설계 Stadian으로 간단하게 설계가 가능 Input : clock, reset, input_signal Output : output_signal