예비보고서1 : 8개의 푸시버튼 스위치가 있다. 이 스위치에 각각 0~7개까지의 번호를 부여하였다고 하자

Slides:



Advertisements
Similar presentations
13 강 논리회로 2 과목 전자계산기 구조 강사 이 민 욱. 13 강 논리회로  논리회로 1. 부울 대수 (Boolean Algebra) 에서 사용하는 기본 연산자 ① 논리부정 : NOT ( ` ) 논리부정은 F = NOT A 의 표현을 F =A` 로 표현 ② 논리곱.
Advertisements

재료수치해석 HW # 박재혁.
7 – 세그먼트 동작 시키기 (0~9, A, B, C, D, E, F 표시하기)
신호조절*(Signal Conditioning)
제 3 장 74HC541 소자를 이용한 키 입력 및 74HC574로 출력하기.
Excel 일차 강사 : 박영민.
제5장 조합논리회로설계(MSI/LSI) 내용 5.1 MSI/LSI 조합논리회로 설계 5.2 이진가산기와 이진감산기
AOE-212 설치방법 MANUAL & FAQ 작성일자:2012년02월20일 INTER-M C/S팀
제4장 조합논리회로 내용 4.1 조합논리회로 설계 과정 4.2 산술회로 : 가산기(adder)/ 감산기(subtractor)
2015년 2학기 PULSE 4 전자물리실험 05 - 수위 감지 경보 회로 - DSU 메카트로닉스 융합공학부 -
디지털논리실습 기본 논리 게이트 부울대수 조합회로.
네트워크 프로그래밍 및 실습.
주요 내용 부울 대수 부울 함수의 표현 카노우 맵(Karnaugh Map) 논리 회로의 최소화.
컴퓨터 계측 및 실습 D/A-converter
6. 조합논리의 기능 6-1 기본 가산기 6-2 병렬 2진 가산기 6-3 비교기 6-4 디코더
어셈블리 문법 보강 4월 10일.
VHDL Design : Barrel Shifter
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
RS 및 D 플립플롭 RS Flip Flop 래치는 어떤 입력 레벨에 의해서 제어되는 데 플립플롭은 클록 입력이라고
컴퓨터 계측 및 실습 D/A-converter
For/While Syntax & Practice!!
디지털회로설계_강의안7 10. 인코더와 디코더.
한국방송통신대학교 출석수업 컴퓨터과학과 디지털논리회로 담 당 : 김 룡
멀티미디어 시스템 (아날로그 이미지,신호를 디지털로 변환 방법) 이름 : 김대진 학번 :
ATmega128 FND 실습 휴먼네트웍스 기술연구소
DK-128 FND 실습 아이티즌 기술연구소 김태성 연구원
Stop Watch <결과 보고서>
VHDL Mealy and Moore model
디지털회로설계 (15주차) 17. 시프트 레지스터와 카운터 18. 멀티바이브레이터 * RAM & ROM.
플립 플롭 회로.
2015학년도 PHP 기말 레포트 로그인 홈페이지 제작.
5. 아두이노로 LED와 스위치 제어하기 - 스마트 폰으로 제어하는 아두이노 -.
JPA-1360B/1480B ATT 5-ZONE AMPLIFIER JPA-1360B JPA-1480B 입력 감도 / 임피던스
디지털회로설계_강의안1 1. NOT, OR, AND 게이트.
DK-128 FND 실습 아이티즌 기술연구소
논리회로 및 실험 조합논리회로 (1) - Adder
AMC-2300 주차장 연동 구역 설정 방법 작성일자 : 2016년 07월 25일 INTER-M C/S팀 김성배
                                  11장 컴퓨터 기반 데이터 수집.
논리회로 설계 및 실험 5주차.
볼링게임 시스템 3조 오지연, 손수경.
디지털회로설계_강의안2 NOR, NAND 게이트 불대수와 드모르강 정리.
안산1대학 제 2 장 디지털 논리회로.
IQ16 본 기기는 마이크 외 음향신호를 연결 할 수 있는 12채널 모노 입력과, 4개의 스테레오 입, 3AUX 리턴 채널을 갖고 있다. 메인 L,R 출력과 4개의 그룹 출력, 4개의 억스 출력을 갖고 있다. 4밴드 톤콘트롤 기능으로 각 채널을 설계하였기 때문에 실내구조.
위치 에너지(2) 들어 올리기만 해도 에너지가 생겨. 탄성력에 의한 위치 에너지.
컴퓨터 계측 및 실습 디지털 출력 영남대학교 기계공학부.
Outlook 손상된 PST 복구
계산기.
과제 1 4bit x 4 SRAM이 있다 아래 (1), (2) 두 입력에 대한 출력값 [3:0] Dout을 나타내시오 (1)
7세그먼트 표시기.
회로해석 및 논리회로실험 (정승기 교수님, 김신아 조교님)
단축키 기능 1. 단축키 기능 설명 Alt + R 조회 S 저장 I 삽입 A 추가 D 삭제 P 출력 Q 닫기
RAM RAM 읽기 동작(read) RAM 쓰기 동작(write) 1. 주소선을 통해 주소값 입력.
논리회로 설계 및 실험 4주차.
Chapter 10 데이터 검색1.
디지털회로설계_강의안3 4. X-OR, X-NOR 게이트 5. 오픈컬렉터와 3상태 버퍼/인버터.
디지털논리 회로 1차설계 예비보고서 2006 송만성 2007이상진 2007배정준 2007김효진.
5.1-1 전하의 흐름과 전류 학습목표 1. 도선에서 전류의 흐름을 설명할 수 있다.
IO-Link 통신 기술 소개 산업 Ethernet 필드버스 게이트웨이 접속 IO-Link 마스터 IO-Link 통신
OP-AMP를 이용한 함수발생기 제작 안정훈 박선진 변규현
“국내 발명특허 설계 제작된 BL 전자테크” 태양광 안전 경고등
회로 전하 “펌핑”; 일, 에너지, 그리고 기전력 1. 기전력(electro-motive force: emf)과 기전력장치
컴퓨터는 어떻게 덧셈, 뺄셈을 할까? 2011년 10월 5일 정동욱.
버스와 메모리 전송 버스 시스템 레지스터와 레지스터들 사이의 정보 전송을 위한 경로
Progress Seminar ~ Ji Soo LEE.
Ch8.기본적인 RL, RC 회로 자연응답, 강제응답, 시정수, 계단입력과 스위치 회로
논리회로 설계 및 실험 8주차.
                                  6장 엔코드 디코드 회로 10진수와 2진수의 변환 및 표시 4 7 A B C D BCD 변환.
매스펀 문제 2.
디 코 더 n비트의 2진 코드를 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로
Progress Seminar 양승만.
Presentation transcript:

예비보고서1 : 8개의 푸시버튼 스위치가 있다. 이 스위치에 각각 0~7개까지의 번호를 부여하였다고 하자 예비보고서1 : 8개의 푸시버튼 스위치가 있다. 이 스위치에 각각 0~7개까지의 번호를 부여하였다고 하자. 이 스위치들은 평상시에 모두 열려 있다. 이 스위치들 중 어느 한 스위치를 누르면 그 스위치 번호가 표시되는 회로를 74148 인코더를 사용하여 설계하라

소자의 데이터 시트 74148 인코더 데이터 시트 7447 디코더 데이터 시트

실험1 의 주의점:74148은 active-low 소자다. 따라서 74148 출력을 NOT 게이트 를 지나 7447 BCD 세그먼트 입력으로 가게 하면 됨.

예비보고서 2: 위의 회로를 변형하여 0~9까지의 열 개의 푸시버튼 스위치로 한 회로를 설계하라. 이때에는 74148 대신 74147인코더를 사용하도록 한다. 예비보고서 2의 의미 INPUT이 9개 즉 0~9까지 입력값과 4개의 출력 (2^0승~2^4승)을 갖고 있다. 예비보고서 1에서 74148 인코더가 표현 하지 못하는 8~9( 74148인코더는 입력값이 0~7까지 표현 못하므로)를 74147 인코더는 표현 가능하다. 주의사항: 74147인코더 역시 Active-low 소자 이므로 출력을 NOT게이트를 걸쳐 7447디코더 입력부분으로 가야한다.