Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계.

Slides:



Advertisements
Similar presentations
ⓒ 2015 NHN Entertainment Corp. Django 로 만드는 초간단 블로그 시스템운영팀 김영태 개발환경 구축.
Advertisements

을지대학교 무선 네트워크 사용 방법 2010 년 06 월 01 일. 을지대학교 무선 네트워크 사용 방법 1. PC 무선랜 카드 활성화 및 체크 1 단계 : 시작 -> 설정 -> 네트워크 설정 2 단계 : 무선 네트워크 설정 선택 -> 마우스 버튼 오른쪽 클릭 -> 사용.
Android 프로그램개발 환경. 학습 목표 ■ 교육 목표  JDK 설치  JDK 환경 설정  Eclipse 설치  Android SDK 설치  ADT Plug-in 설치  Android Virtual Device(AVD) 설치  Android 예제 프로그램.
EMP2CYC FPGA moule.
목차 Contents 무선인터넷용 비밀번호 설정방법 Windows 7 Windows 8 Windows XP MAC OS.
디지털시스템실험 2주차 고려대학교 전기전자전파공학부.
기초C언어 제1주 실습 강의 소개, C언어 개요, Cygwin/Eclipse 사용 컴퓨터시뮬레이션학과 2016년 봄학기
CH2 OrCAD Capture CIS.
Term Project iTUTOR를 이용한 PIC 동작 검증 보고서와 모든 소스코드 압축하여 제출
스마트워크(가상화) 외부 접속 방법 정보관리실.
ASIC의 개요 ASIC(Application Specific Integrated Circuit) 특정 용도 주문형 집적회로
MAX+PLUS II 개요.
컴퓨터프로그래밍 1주차실습자료 Visual Studio 2005 사용법 익히기.
新 연구관리 프로그램 SAP 설치 안내 1. SAP GUI 7.3 설치 2전자증빙 솔루션 설치 배포 :
네오플러스 인트라넷 통합인증 설정 매뉴얼.
코크파트너 설치 가이드 Window 7.
ERP 전용 브라우저 설치 매뉴얼 (Windows 7)
윈도우7 체크 설치 매뉴얼
Install & Simulation VLSI 시스템 설계
J2ME Install 부산대학교 인공지능 연구실.
CodeVision사용 메뉴얼.
PXA255-FPGA 장비 개요 및 실습 Lecture #9.
7-Segment FND Controller 구현
IspLEVER 6.1 을 이용한 회로 설계(VHDL)
19장. 원격 조정 로봇 설계 김용애 1조 김정은 이동한 이재흔.
네트워크 프로그래밍 및 실습.
PXA255-FPGA 장비 계요 및 실습 Lecture #9.
MAX+PLUS II 설치 및 디지털 시스템의 설계 방법
VHDL Design : Barrel Shifter
존슨카운터의 동작을 설명·설계할 수 있다 링카운터의 동작을 설명·설계할 수 있다
Quartus 를 이용한 ROM 설계 ROM table 의 작성
Character LCD Controller 구현
3.2 SQL Server 설치 및 수행(계속) 시스템 데이터베이스 master
1. C++ 시작하기.
Raster 애니메이션은 GIF Animator로 만들면 쉽다
제 2 장 WML 시뮬레이터 및 무선인터넷 서버 설치
IT CookBook, VHDL을 이용한 FPGA 디지털 설계
부트로더와 Self Programming
소프트웨어 분석과 설계 Struts2 & JBOSS 설치하기
WinCE Device Driver 실습 #3
WinCE Device Driver 실습 #2
Cross Compiler 설치.
SSL-VPN 설치 및 접속 설명서.
디지털 시스템 설계(3).
DK-128 개발환경 설정 아이티즌 기술연구소 김태성 연구원
2장 JSP 개발 환경 설정 이장에서 배울 내용 : JSP 페이지를 작성하기 위한 개발환경을 설정하고, 웹 어플리케이션 개발을 위해 반드시 이해하여야 할 웹 어플리케이션 폴더 구조에 대해 학습한다. 또한 요청된 JSP 페이지가 어떠한 처리과정을 거쳐 응답이 이루어지는가에.
멀티미디어 기본+활용 제대로 배우기.
Programmable Logic Device
Microsoft Robotics Developer Studio 고급 프로그래밍 과정 [Part 3] VPL 로봇 프로그래밍
Quartus II의 개요 다양한 플랫폼의 설계 환경을 제공 SOPC 설계를 위한 환경이며, 모든 FPGA와 CPLD를 지원
이메일 자동 포워딩 방법 (Outlook/OWA)
Windows에서 Virtual Box를 이용한 Ubuntu 설치
영상처리 실습 인공지능연구실.
명지대학교 통합모바일앱 E-Book 이용안내
ERP 전용 브라우저 설치 매뉴얼 (Windows 7)
IspLEVER 6.1 을 이용한 회로 설계(VHDL)
1차시: 낮과 밤이 생기는 원리 지구과학
1. 스크립트 작성 마법사 2. NSIS 스크립트 컴파일
컴퓨터 개론 및 실습 1주차 2015년 03월 05일.
7주차 실습 FPGA 보드 사용법.
학습목표 처음 만드는 비주얼 베이직 프로그램 프로그램 실행과 실행 파일 생성. 학습목표 처음 만드는 비주얼 베이직 프로그램 프로그램 실행과 실행 파일 생성.
DK-128 개발환경 설정 아이티즌 기술연구소 김태성 연구원
DK-128 개발환경 설정 아이티즌 기술연구소
기초C언어 제2주 실습 프로그래밍의 개념, 프로그램 작성 과정 컴퓨터시뮬레이션학과 2016년 봄학기 담당교수 : 이형원
무선랜 사용자 설명서 (Windows Vista 사용자).
IPC 펌웨어 업그레이드 방법 안내 ** 반드시 IPC를 NVR POE 포트 연결 전에 작업 하시기 바랍니다. IPC를 NVR POE 포트에 연결 하실 경우 IP 대역폭을 마추셔야 하는 작업이 필요합니다. **
Platform Builder 사용법.
SafeMSR 설정.
Chapter 11. 문서 인쇄 및 파일 형식.
Presentation transcript:

Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계

1 프로젝트 생성 2 VHDL 컴파일 3 시뮬레이션 4 디바이스와 핀 할당 5 FPGA에 다운로드하기

Section 01 프로젝트 생성 Create a New Project Wizard

Section 01 프로젝트 생성 File  New Project Wizard

Section 01 프로젝트 생성 Project name과 top-level design entry name을 일치

Section 01 프로젝트 생성 Project에 포함시킬 design file을 선택 없으면 NEXT를 클릭

Section 01 프로젝트 생성 Device 선택 ACEX 1K EP1K100QC208-3을 선택

Section 01 프로젝트 생성 함께 사용할 EDA(Electronic Design Automation) tool을 선택 없으면 Next를 클릭

Finish 클릭으로 project 생성 종료 Section 01 프로젝트 생성 Finish 클릭으로 project 생성 종료

File → New → VHDL file을 선택하여 VHDL 프로그래밍 Section 01 프로젝트 생성 새로운 project가 생성된 것을 확인 File → New → VHDL file을 선택하여 VHDL 프로그래밍

Section 01 프로젝트 생성 새 파일 형 선택

Section 01 프로젝트 생성 Text Editor 화면

File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면 Section 01 프로젝트 생성 File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면 프로그램 : and_2.vhd  소스 프로그램 library ieee; use ieee.std_logic_1164.all;   entity and_2 is    port(A, B : in std_logic;            Z : out std_logic);   end and_2;  architecture sample of and_2 is    begin         Z  <=  a and b;   end sample;

File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면 Section 01 프로젝트 생성 File → New → VHDL file을 선택하여 VHDL 프로그래밍 새로운 프로젝트의 VHDL 설계 화면

File → Save As에서 vhd 확장자로 새로운 파일을 저장 Section 01 프로젝트 생성 File → Save As에서 vhd 확장자로 새로운 파일을 저장

Section 01 기존의 프로젝트를 오픈 File → Open Project 선택

Section 01 프로젝트 생성 Project가 open된 것을 확인

Section 02 VHDL 컴파일 Processing → Start Compilation 또는 Compiler Tool을 클릭 Start Compilation 단축 아이콘(▶)을 직접 클릭

새로운 프로젝트의 VHDL 설계 파일의 성공적인 Compilation 화면 Section 02 VHDL 컴파일 새로운 프로젝트의 VHDL 설계 파일의 성공적인 Compilation 화면

Section 02 VHDL 컴파일 Compile 시 에러 발생

Section 02 VHDL 컴파일 에러 발생 표시 부분 에러 발생부분 에러 발생을 알리는 Message line을 클릭함

Section 02 VHDL 컴파일 재 컴파일 성공적인 결과 화면

File → New를 클릭한 후 Vector Waveform File을 선택 Section 03 시뮬레이션 File → New를 클릭한 후 Vector Waveform File을 선택

File → Save As를 클릭하여 *.vwf 파일로 저장 Section 03 시뮬레이션 File → Save As를 클릭하여 *.vwf 파일로 저장

Section 03 시뮬레이션 Name 위 마우스 우측 버튼을 클릭

Name 위 마우스 우측 버튼을 클릭한 다음 Insert → Insert Node or Bus 선택 Section 03 시뮬레이션 Name 위 마우스 우측 버튼을 클릭한 다음 Insert → Insert Node or Bus 선택

Section 03 시뮬레이션 Node Finder를 클릭한 후 Filter:에서 Pins:all을 선택한 후 List를 클릭하면 입출력 단자의 이름이 나타남

Section 03 시뮬레이션 Node Finder를 클릭한 후 Filter:에서 Pins:all을 선택한 후 List를 클릭하면 입출력 단자의 이름이 나타남→OK

Insert Node or Bus에서 Radix: binary로 선택하고) →OK Section 03 시뮬레이션 Insert Node or Bus에서 Radix: binary로 선택하고) →OK

Section 03 시뮬레이션 Node Found에서 모든 노드를 선택한 후 Selected Nodes로 모두 이동

신호의 범위를 정한 후 좌측 신호 레벨을 클릭하여 입력 신호 값을 결정 Section 03 시뮬레이션 신호의 범위를 정한 후 좌측 신호 레벨을 클릭하여 입력 신호 값을 결정

Section 03 시뮬레이션 Waveform 저장

Processing → Start Simulation Tool을 클릭 단축 아이콘( )을 직접 클릭 Section 03 시뮬레이션 Processing → Start Simulation Tool을 클릭 단축 아이콘( )을 직접 클릭

Section 03 시뮬레이션 Simulation Tool에서 버튼을 클릭 또는 Overwrite simulation input file with simulation result를 체크하고 open을 클릭

Section 03 시뮬레이션 시뮬레이션 결과를 확인

Section 03 시뮬레이션 Simulation 시간 조정 Edit→ End Time에서 end time을 설정(조건 :

Section 03 시뮬레이션 Simulation 시간 조정 Edit→ Grid Size에서 Period을 설정(조건 :

Section 04 디바이스와 핀 할당 Assignment → Device 메뉴에서 ACEX 1K → EP1K100QC208-3을 선택

Section 04 디바이스와 핀 할당 Device and Pin Options : Unused Pins를 선택

Section 04 디바이스와 핀 할당 As Input tri-stated 체크 →확인

Section 04 디바이스와 핀 할당 Assignment Editor를 선택

Section 04 디바이스와 핀 할당 To 아래의 <New>에 오른쪽 마우스 클릭

Section 04 디바이스와 핀 할당 Node Finder를 선택 → 새로운 창→ List를 선택 → Node Found에서 입출력핀 선택 하여 Selected Nodes로 옮김 → OK

Section 04 디바이스와 핀 할당 Assignment Name에서 키보드의 영문자 “L”을 선택 → Location(Accepts with cards/groups)을 선택

Section 04 디바이스와 핀 할당 Assignment Name

Section 04 디바이스와 핀 할당 Assignments →Pins →각 핀의 Location에 놓고 더블 클릭 → 각각 Pin에 번호를 설정

Section 04 디바이스와 핀 할당 HBE-Combo의 입출력 장치

Section 04 입출력장치 Button SW(SW_1~SW_F) Bus SW LED SW0 SW1 SW2 SW3 SW4 SW_A SW_B SW_C SW_D SW_E SW_F 115 114 113 112 111 104 103 102 101 100 99 97 96 95 94 92 Bus SW SW0 SW1 SW2 SW3 SW4 SW5 SW6 SW7 92 90 89 88 87 86 85 83 LED LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 191 190 189 187 179 177 176 175

7-Dot_ment HBE-Combo 설치 ALTERA a b c d e f g DP 174 173 172 170 169 168 167 166 com1 com2 com3 com4 com5 com6 com7 com8 164 163 162 161 160 159 158 157

Dot Matrix LED HBE-Combo 설치 ALTERA Dot_D0 Dot_D1 Dot_D2 Dot_D3 Dot_D4 150 149 148 147 144 143 142 Dot_D7 Dot_D8 Dot_D9 Dot_D10 Dot_D11 Dot_D12 Dot_D13 141 140 139 136 135 134 133 Dot_Scan0 Dot_Scan1 Dot_Scan2 Dot_Scan3 Dot_Scan4 Dot_Scan5 Dot_Scan6 132 131 128 127 126 125 122 Dot_Scan7 Dot_Scan8 Dot_Scan9 121 120 119

Section 04 디바이스와 핀 할당 핀 할당한 후 → Save → 컴파일 실행 → 성공

Section 05 FPGA에 다운로드하기 Tools → Programmer 또는 Programmer 단축아이콘( ) 실행

Section 05 FPGA에 다운로드하기 Hardware Setup → Add Hardware 클릭 Hardware Type : ByteBlaster, Port : LPT1

Section 05 FPGA에 다운로드하기 다운 로드 방식(Mode) → Passive Serial 선택

Section 05 FPGA에 다운로드하기 Add file에서 다운로드할 sof 파일 선택 Program/Configure 체크

Section 05 FPGA에 다운로드하기 Start 버튼을 클릭하면 Progress bar에서 다운로드되는 것을 확인