JK 및 T 플립플롭 JK Flip-Flop JK 플립플롭은 디지털 시스템에서 가장 많이 사용되고 있는 플립플롭으로

Slides:



Advertisements
Similar presentations
식품사업부 8 월 기도회 2006 년 8 월 9 일. 7 월 감사제목 1. 7 월에도 매장에서 안전사고와 고객클레임 없이 무사히 영업을 하게 해주셔서 감사 합니다. 2. 지난 번 폭우때 매장의 안전과 재산을 지켜주시고 직원들의 건강을 지켜주셔서 감사합니다. 3. 어려운.
Advertisements

13 강 논리회로 2 과목 전자계산기 구조 강사 이 민 욱. 13 강 논리회로  논리회로 1. 부울 대수 (Boolean Algebra) 에서 사용하는 기본 연산자 ① 논리부정 : NOT ( ` ) 논리부정은 F = NOT A 의 표현을 F =A` 로 표현 ② 논리곱.
레지스터 (Register) IT CookBook, 디지털 논리회로 11. 2/31 학습목표  네 가지 기본형 레지스터의 동작을 이해한다.  양방향 시프트 레지스터의 동작을 이해한다.  레지스터의 주요 응용분야를 이해한다.  MSI 시프트 레지스터 IC 의 외부접속.
레지스터 (Register) IT CookBook, 디지털 논리회로 학습목표 및 목차 네 가지 기본형 레지스터의 동작을 이해한다. 양방향 시프트 레지스터의 동작을 이해한다. 레지스터의 주요 응용분야를 이해한다. MSI 시프트 레지스터 IC 의 외부접속.
10 카운터 (Counter) IT CookBook, 디지털 논리회로.
컴퓨터시스템구조 개요 Lecture #1.
9 동기순서논리회로 IT CookBook, 디지털 논리회로.
VHDL 프로그램은 비동기 Reset을 갖는 D 플립플롭을 구현한 것이다
TTL(Transistor Transistor Logic)
적분방법의 연속방정식으로부터 Q=AV 방정식을 도출하라.
                                  8장 A/D 변환기 A/D Converter? A/D Converter 원리 Bit 수와 최대범위 및 해상도와의 관계.
                                  7장 D/A 변환기 D/A Converter? D/A Converter 원리 Bit 수와 최대범위 및 해상도와의 관계.
카르노 맵을 이용한 간략화 2) 입력변수가 n이면 2n 개의 빈칸 작성 3) 민텀은 “1”, 맥스텀은 “0”을 해당칸에 기입
5장. 순차 논리 회로 Lecture #5.
래치(latch) S R Q Q 1 기본적인 플립플롭(basic flip flop)으로 1비트의 정보를 저장할 수 있는 소자
Chapter 08. 플립플롭.
DC Motor Control Robotics_LAB 유 홍 선.
10장 랜덤 디지털 신호처리 1.
디지털논리실습 기본 논리 게이트 부울대수 조합회로.
실험 8. 연산증폭기 특성 목적 연산증폭기의 개관, 특성 및 사용법 이해 입력저항, 개루프 이득, 출력저항, 슬루레이트 등
오브젝트 플립플롭 IT CookBook, VHDL을 이용한 디지털 회로 입문.
Chapter 5 순차회로.
논리 회로 설계 기초 (2) Lecture #2.
13. 실용 디지털 회로설계 대한상공회의소 충북인력개발원 정보통신과 강 원 찬 A/D, D/A 펄스 발생회로 래치회로
오브젝트 조합 회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
Chap 9. Programmable logic and memory
쉬프트 레지스터 용어 Shift Register: N-bit 데이터를 직렬 혹은 병렬로 N-bit 레지스터에 이동 저장하는 동기식 순차회로. Left Shift: 쉬프트 레지스터에서의 데이터의 이동이 오른쪽에서 왼쪽으로 (MSB방향으로) 이동하는 동작으로 한 클록 펄스마다.
RS 및 D 플립플롭 RS Flip Flop 래치는 어떤 입력 레벨에 의해서 제어되는 데 플립플롭은 클록 입력이라고
Chapter 02 논리회로.
상태 머신 설계 (State Machine Design)
4.1 함수(신호)발생기로 클럭펄스 만들기 ② ① - 신호발생기의 출력을 오실로스코프로 보면서 1 Hz 클럭펄스를 만든다.
컴퓨터 응용과 3학년 1반 조장 김영조 조원 구본건 , 임선택
BUCK 컨버터 실험 실험 준비 실험 진행 및 결과 첨부. SCR 게이트 펄스 만들기 목 차
Stop Watch <결과 보고서>
디지털논리실습.
2장 논리 회로와 활용 2장 논리회로와 활용.
학습 목표 비동기식, 동기식 카운터의 설계 과정 및 동작을 이해한다. 링 카운터와 존슨 카운터의 동작을 이해한다.
8. 플립플롭 및 관련 소자 8-1 래치 8-2 에지트리거 플립플롭 8-3 매스터/슬레이브 플립플롭
디지털회로설계 (15주차) 17. 시프트 레지스터와 카운터 18. 멀티바이브레이터 * RAM & ROM.
디 지 털 공 학 한국폴리텍V대학.
8장 대형 순차회로 문제의 해법 시프트 레지스터 카운터 ASM 도를 이용한 설계 One Hot encoding 복잡한 예제.
플립 플롭 회로.
6장 순차회로 시스템 상태표와 상태도 래치와 플립플롭 순차 시스템의 해석.
플립플롭, 카운터, 레지스터 순서회로 플립플롭 카운터 레지스터.
논리회로 및 실험 조합논리회로 (1) - Adder
TFT-LCD 구조 동작원리 응용분야.
오브젝트 순서회로 IT CookBook, VHDL을 이용한 디지털 회로 입문.
동기식 카운터 설계.
9. 카운터 9-1 비동기 카운터 9-2 동기 카운터 9-3 업/다운 동기 카운터 9-4 동기카운터 설계
논리회로 설계 및 실험 5주차.
6 레지스터와 카운터.
디지털회로설계_강의안2 NOR, NAND 게이트 불대수와 드모르강 정리.
안산1대학 제 2 장 디지털 논리회로.
제 15 장 디지털 회로 (Digital Circuits)
6. 레지스터와 카운터.
제4강 처리장치 1.
Chapter 03 순서 논리회로.
Chapter 5. 자료의 연산과 논리회로 e-learning Computers.
UNIT 25 SPI 로봇 SW 교육원 조용수.
RAM RAM 읽기 동작(read) RAM 쓰기 동작(write) 1. 주소선을 통해 주소값 입력.
Common Emitter Amp. 참고 문헌 : 전자회로 5판, Sedra/Smith - 5장의 내용을 중심으로 구성.
Chapter 5. 자료의 연산과 논리회로 e-learning Computers.
4. Flip-Flops : S-R, D, J-K, T 컴퓨터 구조 실습 안내서.
UNIT 25 SPI 로봇 SW 교육원 조용수.
제11강 PC정비사 1급(필기) Lee Hoon Copyright(c) 2008 LeeHoon All rights reserved.
논리회로 설계 및 실험 4주차.
OP-AMP를 이용한 함수발생기 제작 안정훈 박선진 변규현
아날로그 신호를 디지털 신호로 변환하는 A/D 변환기 A/D 변환 시 고려하여 할 샘플링 주파수 D/A 변환기
버스와 메모리 전송 버스 시스템 레지스터와 레지스터들 사이의 정보 전송을 위한 경로
디 코 더 n비트의 2진 코드를 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로
Presentation transcript:

JK 및 T 플립플롭 JK Flip-Flop JK 플립플롭은 디지털 시스템에서 가장 많이 사용되고 있는 플립플롭으로 입력단자 J와 K, 클록펄스 입력단자 CK, 출력단자 Q와 를 갖고 있다. 이 JK 플립플롭은 에지 트리거 JK 플립플롭과 마스터-슬레이브 JK 플립플롭의 두 종류로 나누어진다. 에지 트리거 JK 플립플롭은 정진행 에지 트리거(Positive edge trigger)와 클록 펄스 단자 앞단에 NOT Gate를 접속한 부진행 에지 트리거(Negative edge trigger) 방식이 있다.

JK 및 T 플립플롭 JK Flip-Flop 이러한 JK 플립플롭의 구성은 아래 그림과 같다. 아래 그림은 클록부착 JK 플립플롭으로서 클록신호의 상승에지(PGT)에 의해 트리거 된다. J와 K의 입력은 클록부착 RS 플립플롭에 대한 R과 S의 입력과 같은 방법으로 플립플롭의 상태를 제어하며 중요한 차이점은 J=K=1의 조건이라 하더라도 모호한 출력 논리를 발생하지 않는다는 것이다. PGT에서 트리거되는 JK 플립플롭

JK 및 T 플립플롭 JK Flip-Flop JK 플립플롭 파형도 JK 플립플롭 진리표 Cp J (S) K (R) Q x 1

JK 및 T 플립플롭 T Flip-Flop T Q 0 1 1 0 T 플립플롭은 클록펄스가 들어올 때마다 출력의 상태가 바뀌는 즉 반전(toggle)되는 플립플롭이며 그 타이밍도와 논리기호는 아래그림과 같다. 타이밍도에서는 정에지에서 동작하고 있다. 아래표는 그 동작상태를 나타낸다. T 플립플롭의 타이밍도와 논리기호 T 플립플롭의 동작상태 T Q 0 1 1 0