IspLEVER 6.1 을 이용한 회로 설계(VHDL)

Slides:



Advertisements
Similar presentations
ⓒ 2015 NHN Entertainment Corp. Django 로 만드는 초간단 블로그 시스템운영팀 김영태 개발환경 구축.
Advertisements

1/ 편집 기능 사용하기 – 실습 1 글자 모양을 바꾸고 싶은 곳을 블록 설정 [ 글자 모양 ] 대화 상자에서 [ 글꼴 ] ‘ 궁서체 ’, [ 글자 색 ] ‘ 토마토색 ’ 으로 선택 → [ 설정 ] 클릭 → 글자 모양 변경.
가족 문화 정보 한마당 대단원 1 – 중단원 4 영진.COm영진.COm 1. 마음이 담긴 쪽지 쓰기  워드 프로세서 (Word processor)  문서를 작성하고 편집 · 출력할 수 있는 프 로그램  워드 프로세서의 기능  문서의 입력 기능  문서의 편집.
1/29 키보드로 직접 입력할 수 없는 다양한 기호와 한자를 입력하는 방법을 알아 보자. 또한 블록으로 영역을 설정하는 여러 가지 방법에 대해 살펴본 후 블록 으로 설정된 내용을 복사하여 붙여넣거나, 잘라내고 이동하는 방법에 대해서 도 알아보자. 02_ 문서의 입력과 편집.
IspLEVER 6.1 을 이용한 회로 설계 (Schematic). Table of Contents ispLEVER ispLEVER 6.1 tool 의 시작프로그램 2.Lattice Device 의 Design 1) 프로그램시작 2) 새로운 Project 만들기.
IspLEVER 6.1 을 이용한 회로 설계(Schematic)
Windows XP SP2 문제해결 Windows XP SP2를 설치한 회원께서는 Pop-up차단 기능과 ActiveX 설치의 어려움 발생 아래의 예는 안철수 바이러스 설치 시 문제점을 해결 하는 방법의 설명. 1. ActiveX 컨트롤 설치 ① 주소 표시줄 아래의 '이.
목차 Contents 무선인터넷용 비밀번호 설정방법 Windows 7 Windows 8 Windows XP MAC OS.
디지털시스템실험 2주차 고려대학교 전기전자전파공학부.
Cary UV 분석 매뉴얼 1. 바탕화면의 Cary WinUV 클릭 정량분석 다 파장 동시분석 단 파장 분석
기초C언어 제1주 실습 강의 소개, C언어 개요, Cygwin/Eclipse 사용 컴퓨터시뮬레이션학과 2016년 봄학기
Term Project iTUTOR를 이용한 PIC 동작 검증 보고서와 모든 소스코드 압축하여 제출
Powerbuilder 연동 1 : File-New (Application 선택)
ASIC의 개요 ASIC(Application Specific Integrated Circuit) 특정 용도 주문형 집적회로
149개의 실습예제로 배우는 Flash 8.
풀 다운 메뉴 File > New “intent” 이름을 넣고 OK 를 클릭한다.
컴퓨터프로그래밍 1주차실습자료 Visual Studio 2005 사용법 익히기.
新 연구관리 프로그램 SAP 설치 안내 1. SAP GUI 7.3 설치 2전자증빙 솔루션 설치 배포 :
Insert Footer or Copyright Information Here
코크파트너 설치 가이드 Window 7.
ERP 전용 브라우저 설치 매뉴얼 (Windows 7)
Outlook Express 메일 백업 및 복원가이드
DPR-1630&1615 IP공유기 셋팅 방법 고객지원팀 작성자 : 정청석.
J2ME Install 부산대학교 인공지능 연구실.
CodeVision사용 메뉴얼.
IspLEVER 6.1 을 이용한 회로 설계(VHDL)
Quartus II 사용법 VHDL을 이용한 디지털 시스템 설계.
옐로우캡 TSC 드라이버 설치방법.
네트워크 프로그래밍 및 실습.
메뉴얼 - 협력업체용 -.
홍익대학교 메일 시스템 구축 Outlook 설정 매뉴얼.
PLISM 컴포넌트 설치 방법.
SAP GUI 설치 가이드 프로세스 혁신 TFT.
Communication and Information Systems Lab. 황재철
1. C++ 시작하기.
소프트웨어 분석과 설계 Struts2 & JBOSS 설치하기
WinCE Device Driver 실습 #3
WinCE Device Driver 실습 #2
SSL-VPN 설치 및 접속 설명서.
학습목표 학습목차 다른 홈페이지의 HTML 파일 코드를 보는 방법에 대해 알아봅니다.
디지털 시스템 설계(3).
VHDL Mealy and Moore model
이메일 자동 포워딩 방법 (Outlook/OWA)
IPython Notebook + Spark + TensorFlow on MacOS
NewsLetter ScrapMaster 사용설명서
영상처리 실습 인공지능연구실.
WZC 무선 연결 방법 (Windows XP Ver.).
홍익대학교 메일 시스템 구축 그룹웨어 메일 이전 하기.
ERP 전용 브라우저 설치 매뉴얼 (Windows 7)
3D 프린팅 프로그래밍 01 – 기본 명령어 강사: 김영준 목원대학교 겸임교수.
1차시: 낮과 밤이 생기는 원리 지구과학
Eclipse CDT에서 프로젝트를 Export 하고 Import 하는 방법
8장. spss statistics 20의 데이터 변환
7주차 실습 FPGA 보드 사용법.
빌드 성공.
청각장애인용 APP 구성 및 사용법.
단축키 기능 1. 단축키 기능 설명 Alt + R 조회 S 저장 I 삽입 A 추가 D 삭제 P 출력 Q 닫기
DK-128 개발환경 설정 아이티즌 기술연구소
수동 설치시는 설치 방법 1. 두번에 설치 CD 속에 fscommand 폴더 밑에 Osstem 이라는 폴더를
Tensorboard in Windows
01. 분산 파일 시스템의 개요 네트워크에 분산된 파일을 사용자가 쉽게 접근하고 관리할 수 있게 해준다.
기초C언어 제2주 실습 프로그래밍의 개념, 프로그램 작성 과정 컴퓨터시뮬레이션학과 2016년 봄학기 담당교수 : 이형원
1. 인터넷 보안 옵션 레지스트리 등록 Config Setup 클릭.
TrustNet 전자 협조전 사용설명서 목 차 작성,수정,삭제 결재함 처리현황 발송대장,접수대장
1. BlueSoleil 프로그램 설치 및 SETUP 사항
대한축구협회 대한축구협회 2013년 전산등록 매뉴얼 2018년 심판보고서매뉴얼.
Platform Builder 사용법.
Power Point 예제 디자인 적용 (서식) - (디자인적용) - (원하는 디자인 선택)
BoardGame 보드게임 따라가기.
Presentation transcript:

IspLEVER 6.1 을 이용한 회로 설계(VHDL)

Table of Contents ispLEVER 6.1 1 새로운 Project 만들기 2 Device 선정하기 3 Design Source 만들기 1) Schematic Design Example 2) Design 형태를 선택 3) 4-bit counter 설계 4) Compile 5) 입출력 핀 할당 5 – 1) Package View 5 – 2) Spreadsheet View 6) Simulation 6 – 1 Test Bench File 만들기 6 – 2 Simulation 확인 6 – 3 ModelSim

Lattice Device의 Design (VHDL) 1 새로운 Project 만들기 이전 작업은 schematic설계와 동일하여 생략함. File->New Project를 선택 Project는~.syn으로 저장되며 project name과 Design Entry Type과 Synthesis Tools을 선택하고 다음(N) 버튼을 누른다 ABEL/Schematic VHDL/Schematic Verilog/Schematic의 혼용Design 지원

Lattice Device의 Design (VHDL) Family -> Device ->Speed grade -> Package type ->Operating conditions Device 선택이 완료되면 다음(N)을 클릭 Add Source ->기존에 설계된 source 파일이 있을 경우 여기서 불러들여서 사용할 수 있음 ->기존 설계된 파일이 없고 새로운 project를 설계 하고자 할 경우는 다음(N) 을 클릭한다 Project Information ->지금까지 작성한 내용들을 한눈에 보여줌

Lattice Device의 Design (VHDL) 프로젝트 만들기를 마치면 타이틀 바에 현재의 PATH와 프로젝트 파일네임이 나타나 있다. Source in Project창에는 Untitled라고 적힌 곳이 있는데 이곳은 진행프로젝트의 타이틀 정도 적을 수 있다.(더블클릭) LFEC1E-3T100C 디바이스가 자동으로 올라오게 되는데, 이 부분을 더블클릭 하게 되면 아래의 그림처럼 Device Selector가 나오게 된다. Device Selector, 이 메뉴에서는 원하는 디바이스를 선택 하면 디바이스가 바뀌게 된다.

Lattice Device의 Design (VHDL) 3 Design Source 만들기 1) Schematic Design Example NEW ->새로운 source만들기 Import ->기존에 설계된 source를 불러오기 Source ->New 선택 2) Design 형태를 선택 ABEL Test Vectors ->ABEL로 Design한 경우 Simulation 하기 위한 Text Editor Schematic ->Schematic을 이용한 Design VHDL Module ->VHDL Editor Waveform Stimulus ->Simulation용 Waveform Editor

Lattice Device의 Design (VHDL) ->VHDL Module를 선택하게 되면 TEXT 창이 열리면서 File, Entity 와 Architecture Name을 기재하는 곳이 나온다. 여기서 각각에 해당하는 이름을 주면 된다. ->만약 File Name만 넣고 나머지는 공란으로 두게 되면 Entity name 은 file name으로 architecture name 은 Behavioral로 임의대로 만들어 진다. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity demo is end; architecture behavioral of demo is begin end behavioral;

Lattice Device의 Design (VHDL) 3) 4-bit counter 설계 옆의 화면과 같이 4-bit up counter의 설계를 완성해 보자

Lattice Device의 Design (VHDL) 4) Compile 코딩이 완성된 후, 저장하고 빠져 나오면 소스코드가 디바이스 아래에 올라온 것을 볼 수 있다. 이때 VHDL의 syntax check와 Synthesis를 하는 메뉴가 Compile EDIF File이다. 더블 클릭하여 메뉴를 실행시킨다. (** 에러가 메시지 창에 나타나면 에러 난 부분을 더블클릭 하게 되면 VHDL의 에러 난 부분으로 커서가 이동하게 된다. 메시지를 보고 수정하여 다시 Compile EDIF File을 더블클릭 하면 체크가 된다.**) Process state Icon Initial No icon Warnings completed Errors

Lattice Device의 Design (VHDL) 5) 입출력 핀 할당 -> Design Planner (post-Map)를 이용 ***입출력 핀 할당*** CPLD device Constraint Editor를 이용 FPGA device Design Planner (post-Map)를 이용 View 메뉴를 클릭하여 여러 가지 형태의 view를 선택하여 입출력 핀들을 할당할 수 있다. 그 중에서 가장 널리 이용되고 있는 Package View와 Spreadsheet View 두 가지 방법을 설명함 View메뉴를 클릭하여 Package View 메뉴를 선택한다.

Lattice Device의 Design (VHDL) 5 – 1) Package View Package View를 선택하게 되면 그림처럼 Package 형태가 나타나게 되는데 왼쪽의 포트네임을 선택하여 오른쪽창의 각 I/O에 마우스로 드래그 하면 할당된다. 할당된 pin을 해제하고자 할 때에는 Top View의 pin에서 마우스 오른쪽 버튼을 눌러 Unlock를 click하면 된다 (*signal을 할당할 때 datasheet의 Pinout Information의 내용을 참조)

Lattice Device의 Design (VHDL) 5 – 2) Spreadsheet View 오른쪽 pin Attributes창의 pin block을 Double click한 후 직접 입력하여도 pin이 할당되고 Bank는 자동으로 선택 되어진다. 모든 pin할당이 끝나면 저장을 하는데 여기서 demo.lpf 파일로 저장된다.

Lattice Device의 Design (VHDL) 6) Simulation 6 – 1 Test Bench File 만들기 Mentor의 Model Sim을 이용하여 Simulation 하기 위해서는 Test Bench File을 만들어야 한다. Test Bench File 만드는 방법은 VHDL Test Bench Template을 더블클릭 하면 메시지 창에 Test Bench Format이 나타나게 된다. 파일 이름은 cnt.vht로 만들어진다.

Lattice Device의 Design -> Text Editor를 이용한 방법 Window 메뉴에서 Text Editor 메뉴를 선택하여 Text Editor창을 연다. Text Editor창에서 File -> New 클릭

Lattice Device의 Design (VHDL) 메시지 창에 나타난 cnt.vht파일을 Drag하여 복사한 다음 옆 화면처럼 붙여 넣고 input wave stimulus를 넣어 주어야 한다. Clock 초기값, clear 초기값 Clock 및 Clear 입력 조건 옆의 화면과 같이 Test Bench를 완성한다. (**Test bench의 다른 유형들은 VHDL책자를 참조**) Test Bench File이 완성되었으면 옆 화면과 같이 *.vhd로 File Name을 정하고 OK를 클릭

Lattice Device의 Design (VHDL) ① Test Bench File이 완성되면 옆의 그림 순서와 같이 File을 Import한다. ② ③ ④ Importing하는 중에 Associate VHDL Test Bench창이 뜨는데, 각 모듈에 대한 Function Simulation만 할 경우에는 모듈네임을 선택하고, 전체적인 Timing과 Function Simulation을 해야 할 경우에는 옆의 그림처럼 Device를 선택하면 된다.

Lattice Device의 Design (VHDL) 6 – 2 Simulation 확인 왼쪽의 Sources in Project창에서 Test Bench File을 선택하면 VHDL Functional Simulation (단순한 Function만 체크) VHDL Post-Route Functional Simulation VHDL Post-Route Timing Simulation (칩의 타이밍정보를 고려해 Delay등을 체크) 세 가지의 Simulation메뉴가 나타난다 Simulation을 선택하여 더블클릭 하자 Simulation메뉴를 더블클릭 하면 옆 화면과 같은 ModelSim화면이 나타나게 된다.

Lattice Device의 Design (VHDL) 6 – 3 ModelSim Command란에 원하는 시간만큼 Run을 실행시키면 Wave창에 파형들을 확인할 수 있다 입력된 Clear 초기값